A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations

Sravan K. Marella, Sachin S. Sapatnekar. A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations. IEEE Trans. VLSI Syst., 23(7):1308-1321, 2015. [doi]

Authors

Sravan K. Marella

This author has not been identified. Look up 'Sravan K. Marella' in Google

Sachin S. Sapatnekar

This author has not been identified. Look up 'Sachin S. Sapatnekar' in Google