A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations

Sravan K. Marella, Sachin S. Sapatnekar. A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations. IEEE Trans. VLSI Syst., 23(7):1308-1321, 2015. [doi]

@article{MarellaS15,
  title = {A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations},
  author = {Sravan K. Marella and Sachin S. Sapatnekar},
  year = {2015},
  doi = {10.1109/TVLSI.2014.2335154},
  url = {http://dx.doi.org/10.1109/TVLSI.2014.2335154},
  researchr = {https://researchr.org/publication/MarellaS15},
  cites = {0},
  citedby = {0},
  journal = {IEEE Trans. VLSI Syst.},
  volume = {23},
  number = {7},
  pages = {1308-1321},
}