Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs

Christian Weis, Matthias Jung 0001, Peter Ehses, Cristiano Santos, Pascal Vivet, Sven Goossens, Martijn Koedam, Norbert Wehn. Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs. In Wolfgang Nebel, David Atienza, editors, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015. pages 495-500, ACM, 2015. [doi]

Abstract

Abstract is missing.