researchr
explore
Tags
Journals
Conferences
Authors
Profiles
Groups
calendar
New Conferences
Events
Deadlines
search
search
You are not signed in
Sign in
Sign up
Links
Filter by Year
OR
AND
NOT
1
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
Filter by Tag
Filter by Author
[+]
OR
AND
NOT
1
Claudio Brunelli
Claudio Mucci
Davide Bertozzi
Erno Salminen
Fabio Campi
Fernando Gehm Moraes
Gerard J. M. Smit
Gerd Ascheid
Hannu Tenhunen
Henk Corporaal
Jari Nurmi
Jarmo Takala
Jouni Isoaho
Juha Plosila
Juha-Pekka Soininen
Luca Benini
Marko Hännikäinen
Rainer Leupers
Timo D. Hämäläinen
Tobias G. Noll
Filter by Top terms
[+]
OR
AND
NOT
1
analysis
applications
architecture
chip
design
efficient
embedded
energy
level
low
multi
network
performance
power
processor
reconfigurable
soc
system
systems
using
ISSoC (issoc)
Editions
Publications
Viewing Publication 1 - 100 from 440
2014
Early power-aware Design Space Exploration for embedded systems: MPEG-2 case study
Feriel Ben Abdallah
,
Chiraz Trabelsi
,
Rabie Ben Atitallah
,
Mourad Abed
.
issoc 2014
:
1-8
[doi]
Adaptive runtime management of heterogenous MPSoCs: Analysis, acceleration and silicon prototype
Oliver Arnold
,
Gerhard Fettweis
.
issoc 2014
:
1-4
[doi]
L2_ISA++: Instruction set architecture extensions for 4G and LTE-advanced MPSoCs
Oliver Arnold
,
Felix Neumaerker
,
Gerhard Fettweis
.
issoc 2014
:
1-8
[doi]
Optimal data path widths for energy- and area-efficient Max-Log-MAP based LTE Turbo decoders
Martin Broich
,
Tobias G. Noll
.
issoc 2014
:
1-8
[doi]
System on chip design of a linear system solver
Jiri Bucek
,
Pavel Kubalík
,
Róbert Lórencz
,
Tomás Zahradnický
.
issoc 2014
:
1-6
[doi]
Soft-core eFPGA for Smart Power applications
Matteo Cuppini
,
Eleonora Franchi Scarselli
,
Claudio Mucci
,
Roberto Canegallo
.
issoc 2014
:
1-4
[doi]
Keyed logic BIST for Trojan detection in SoC
Elena Dubrova
,
Mats Näslund
,
Gunnar Carlsson
,
Ben J. M. Smeets
.
issoc 2014
:
1-4
[doi]
A transaction-level framework for design-space exploration of hardware-enhanced operating systems
Daniel Gregorek
,
Alberto García Ortiz
.
issoc 2014
:
1-4
[doi]
Energy-efficiency of floating-point and fixed-point SIMD cores for MIMO processing systems
Daniel Günther
,
Andreas Bytyn
,
Rainer Leupers
,
Gerd Ascheid
.
issoc 2014
:
1-7
[doi]
WOKE: A novel workflow model editor
Mikko Honkonen
,
Lauri Matilainen
,
Erno Salminen
,
Timo D. Hämäläinen
.
issoc 2014
:
1-8
[doi]
Constraint-driven frequency scaling in a Coarse Grain Reconfigurable Array
Waqar Hussain
,
Henry Hoffmann
,
Tapani Ahonen
,
Jari Nurmi
.
issoc 2014
:
1-6
[doi]
Gamification of System-on-Chip design
Timo D. Hämäläinen
,
Erno Salminen
.
issoc 2014
:
1-8
[doi]
A cycle-accurate Network-on-Chip simulator with support for abstract task graph modeling
Jan Moritz Joseph
,
Thilo Pionteck
.
issoc 2014
:
1-6
[doi]
A Reconfigurable MapReduce accelerator for multi-core all-programmable SoCs
Christoforos Kachris
,
Georgios Ch. Sirakoulis
,
Dimitrios Soudris
.
issoc 2014
:
1-6
[doi]
A communication model and partitioning algorithm for streaming applications for an embedded MPSoC
Wayne Kelly
,
Martin Flasskamp
,
Gregor Sievers
,
Johannes Ax
,
Jianing Chen
,
Christian Klarhorst
,
Christoph Ragg
,
Thorsten Jungeblut
,
Andrew Sorensen
.
issoc 2014
:
1-6
[doi]
I/O virtualization utilizing an efficient hardware system-level Memory Management Unit
George Kornaros
,
Konstantinos Harteros
,
Ioannis Christoforakis
,
Maria Astrinaki
.
issoc 2014
:
1-4
[doi]
A many-core hardware acceleration platform for short read mapping problem using distributed memory interface with 3D-stacked architecture
Pei Liu
,
Ahmed Hemani
,
Kolin Paul
.
issoc 2014
:
1-8
[doi]
Limits of gate-level power estimation considering real delay effects and glitches
Michael Meixner
,
Tobias G. Noll
.
issoc 2014
:
1-7
[doi]
2014 International Symposium on System-on-Chip, SoC 2014, Tampere, Finland, October 28-29, 2014
Jari Nurmi
,
Peeter Ellervee
,
Dragomir Milojevic
,
Ondrej Daniel
,
Tommi Paakki
, editors,
IEEE,
2014.
[doi]
Fast Memory Region: 3D DRAM memory concept evaluated for JPEG2000 algorithm
Alex Schönberger
,
Klaus Hofmann
.
issoc 2014
:
1-4
[doi]
An implementation of Auto-Memoization mechanism on ARM-based superscalar processor
Yuuki Shibata
,
Takanori Tsumura
,
Tomoaki Tsumura
,
Yasuhiko Nakashima
.
issoc 2014
:
1-8
[doi]
Unbalanced buffer tree synthesis to suppress ground bounce for fine-grain power gating
Kimiyoshi Usami
,
Makoto Miyauchi
,
Masaru Kudo
,
Kazumitsu Takagi
,
Hideharu Amano
,
Mitaro Namiki
,
Masaaki Kondo
,
Hiroshi Nakamura
.
issoc 2014
:
1-7
[doi]
Implementation of Multicore communications API
Janne Virtanen
,
Lauri Matilainen
,
Erno Salminen
,
Timo D. Hämäläinen
.
issoc 2014
:
1-6
[doi]
Parallel and distributed simulation of networked multi-core systems
Philipp Wehner
,
Diana Göhringer
.
issoc 2014
:
1-5
[doi]
Formal verification of circuit-switched Network on chip (NoC) architectures using SPIN
Anam Zaman
,
Osman Hasan
.
issoc 2014
:
1-8
[doi]
2013
2013 International Symposium on System on Chip, ISSoC 2013, Tampere, Finland, October 23-24, 2013
IEEE,
2013.
[doi]
Evaluating the scalability of test buses
Alexandre M. Amory
,
Matheus T. Moreira
,
Ney Laert Vilar Calazans
,
Fernando Gehm Moraes
,
Cristiano Lazzari
,
Marcelo Soares Lubaszewski
.
issoc 2013
:
1-6
[doi]
Optimizing the overhead for network-on-chip routing reconfiguration in parallel multi-core platforms
Marco Balboni
,
Francisco Triviño
,
Jose Flich
,
Davide Bertozzi
.
issoc 2013
:
1-6
[doi]
Efficient on-chip vector processing for multicore processors
Spiridon F. Beldianu
,
Sotirios G. Ziavras
.
issoc 2013
:
1-4
[doi]
ViSA: A highly efficient slot architecture enabling multi-objective ASIP cores
Peter Figuli
,
Carsten Tradowsky
,
Nadine Gaertner
,
Jürgen Becker
.
issoc 2013
:
1-8
[doi]
Prefetching across a shared memory tree within a Network-on-Chip architecture
Jamie Garside
,
Neil C. Audsley
.
issoc 2013
:
1-4
[doi]
Achieving QoS in NoC-based MPSoCs through Dynamic Frequency Scaling
Guilherme Guindani
,
Fernando Gehm Moraes
.
issoc 2013
:
1-6
[doi]
Implementation and evaluation of configuration scrubbing on CGRAs: A case study
Syed M. A. H. Jafri
,
Stanislaw J. Piestrak
,
Ahmed Hemani
,
Kolin Paul
,
Juha Plosila
,
Hannu Tenhunen
.
issoc 2013
:
1-8
[doi]
Extending IP-XACT to embedded system HW/SW integration
Antti Kamppi
,
Lauri Matilainen
,
Joni-Matti Määttä
,
Erno Salminen
,
Timo D. Hämäläinen
.
issoc 2013
:
1-8
[doi]
Proactive Thermal-Budget-Based Beltway Routing algorithm for thermal-aware 3D NoC systems
Che-Chuan Kuo
,
Kun-Chih Chen
,
En-Jui Chang
,
An-Yeu Wu
.
issoc 2013
:
1-4
[doi]
Framework for industrial embedded system product development and management
Arttu Leppakoski
,
Erno Salminen
,
Timo D. Hämäläinen
.
issoc 2013
:
1-6
[doi]
SW and HW speculative Nelder-Mead execution for high performance unconstrained optimization
Artur Mariano
,
Paulo Garcia
,
Tiago Gomes
.
issoc 2013
:
1-5
[doi]
Dependency analysis and visualization tool for Kactus2 IP-XACT design framework
Joni-Matti Määttä
,
Mikko Honkonen
,
Tommi Korhonen
,
Erno Salminen
,
Timo D. Hämäläinen
.
issoc 2013
:
1-6
[doi]
A novel SAD architecture for variable block size motion estimation in HEVC video coding
Purnachand Nalluri
,
Luis Nero Alves
,
Antonio Navarro
.
issoc 2013
:
1-4
[doi]
On the impact of dynamic data management for distributed local memories in heterogeneous MPSoCs
Benedikt Noethen
,
Oliver Arnold
,
Gerhard Fettweis
.
issoc 2013
:
1-7
[doi]
Split-cost communication model for improved MPSoC application mapping
Maximilian Odendahl
,
Jerónimo Castrillon
,
Vitaliy Volevach
,
Rainer Leupers
,
Gerd Ascheid
.
issoc 2013
:
1-8
[doi]
TNODE: A low power sensor node processor for secure wireless networks
Goran Panic
,
Oliver Schrape
,
Thomas Basmer
,
Frank Vater
,
Klaus Tittelbach-Helmrich
.
issoc 2013
:
1-4
[doi]
Comparison of analog transactions using statistics
Alexander W. Rath
,
Volkan Esen
,
Wolfgang Ecker
.
issoc 2013
:
1-6
[doi]
Adaptive QoS techniques for NoC-based MPSoCs
Marcelo Ruaro
,
Everton Alceu Carara
,
Fernando Gehm Moraes
.
issoc 2013
:
1-6
[doi]
Crosstalk avoidance coding for reliable data transmission of network on chips
Zahra Shirmohammadi
,
Seyed Ghassem Miremadi
.
issoc 2013
:
1-4
[doi]
FPGA-accelerated color edge detection using a Geometric-Algebra-to-Verilog compiler
Florian Stock
,
Andreas Koch 0001
,
Dietmar Hildenbrand
.
issoc 2013
:
1-6
[doi]
Study of adaptive detection for MIMO-OFDM systems
Essi Suikkanen
,
Janne Janhunen
,
Shahriar Shahabuddin
,
Markku J. Juntti
.
issoc 2013
:
1-4
[doi]
A cycle accurate simulation framework for asynchronous NoC design
Federico Terraneo
,
Davide Zoni
,
William Fornaciari
.
issoc 2013
:
1-8
[doi]
Partitioning constraints and signal routing approach for multi-FPGA prototyping platform
Mariem Turki
,
Habib Mehrez
,
Zied Marrakchi
,
Mohamed Abid
.
issoc 2013
:
1-4
[doi]
A family of modular area- and energy-efficient QRD-accelerator architectures
Upasna Vishnoi
,
Tobias G. Noll
.
issoc 2013
:
1-8
[doi]
Efficient distributed memory management in a multi-core H.264 decoder on FPGA
Jiajie Zhang
,
Zheng Yu
,
Zhiyi Yu
,
Kexin Zhang
,
Zhonghai Lu
,
Axel Jantsch
.
issoc 2013
:
1-4
[doi]
Scheduling of parallelized synchronous dataflow actors
Zheng Zhou
,
Karol Desnos
,
Maxime Pelcat
,
Jean-François Nezan
,
William Plishker
,
Shuvra S. Bhattacharyya
.
issoc 2013
:
1-10
[doi]
System interconnect extensions for fully transparent demand paging in low-cost MMU-less embedded systems
Lorenzo Zuolo
,
Gabriele Miorandi
,
Cristian Zambelli
,
Piero Olivo
,
Davide Bertozzi
.
issoc 2013
:
1-6
[doi]
2012
2012 International Symposium on System on Chip, ISSoC 2012, Tampere, Finland, October 10-12, 2012
IEEE,
2012.
[doi]
A double data rate 8T-cell SRAM architecture for systems-on-chip
Saleh Abdel-Hafeez
,
Mohammad Shatnawi
,
Ann Gordon-Ross
.
issoc 2012
:
1-4
[doi]
Improving logic-to-memory ratio in an embedded Multi-Processor system via code compression
Roberto Airoldi
,
Piia Saastamoinen
,
Jari Nurmi
.
issoc 2012
:
1-4
[doi]
2 multi-standard turbo decoder
Rachid Al-Khayat
,
Amer Baghdadi
,
Michel Jézéquel
.
issoc 2012
:
1-7
[doi]
Efficient VLSI architectures of QPP interleavers for LTE turbo decoders
Martin Broich
,
Tobias G. Noll
.
issoc 2012
:
1-6
[doi]
Instrumentation-driven model detection for dataflow graphs
Ilya Chukhman
,
William Plishker
,
Shuvra S. Bhattacharyya
.
issoc 2012
:
1-8
[doi]
A flexible platform architecture for Gbps wireless communication
Jeroen Declerck
,
Prabhat Avasare
,
Miguel Glassee
,
Amir Amin
,
Erik Umans
,
Andy Dewilde
,
Praveen Raghavan
,
Martin Palkovic
.
issoc 2012
:
1-6
[doi]
Coarse and fine-grained monitoring and reconfiguration for energy-efficient NoCs
Liang Guang
,
Ethiopia Nigussie
,
Juha Plosila
,
Jouni Isoaho
,
Hannu Tenhunen
.
issoc 2012
:
1-7
[doi]
CRAVE: An advanced constrained random verification environment for SystemC
Finn Haedicke
,
Hoang M. Le
,
Daniel Große
,
Rolf Drechsler
.
issoc 2012
:
1-7
[doi]
Tiny application-specific programmable processor for BCH decoding
Anthony Van Herrewege
,
Ingrid Verbauwhede
.
issoc 2012
:
1-4
[doi]
Effects of scaling a coarse-grain reconfigurable array on power and energy consumption
Waqar Hussain
,
Tapani Ahonen
,
Jari Nurmi
.
issoc 2012
:
1-5
[doi]
A multi-banked shared-l1 cache architecture for tightly coupled processor clusters
Mohammad Reza Kakoee
,
Vladimir Petrovic
,
Luca Benini
.
issoc 2012
:
1-5
[doi]
Hierarchical control flow matching for source-level simulation of embedded software
Kun Lu
,
Daniel Müller-Gritschneder
,
Ulf Schlichtmann
.
issoc 2012
:
1-5
[doi]
Enhancing Cache Coherent Architectures with access patterns for embedded manycore systems
Jussara Marandola
,
Stéphane Louise
,
Loïc Cudennec
,
Jean-Thomas Acquaviva
,
David A. Bader
.
issoc 2012
:
1-7
[doi]
An automated framework for the simulation of mapping solutions on heterogeneous MPSoCs
Antonio Miele
,
Christian Pilato
,
Donatella Sciuto
.
issoc 2012
:
1-6
[doi]
Comparative analysis of dynamic task mapping heuristics in heterogeneous NoC-based MPSoCs
Leandro Möller
,
Leandro Soares Indrusiak
,
Luciano Ost
,
Fernando Gehm Moraes
,
Manfred Glesner
.
issoc 2012
:
1-4
[doi]
Scalability analysis of release and sequential consistency models in NoC based multicore systems
Abdul Naeem
,
Axel Jantsch
,
Zhonghai Lu
.
issoc 2012
:
1-7
[doi]
Dataflow-based reconfigurable architecture for streaming applications
Anja Niedermeier
,
Jan Kuper
,
Gerard J. M. Smit
.
issoc 2012
:
1-4
[doi]
System-level software performance simulation considering out-of-order processor execution
Roman Plyaskin
,
Thomas Wild
,
Andreas Herkersdorf
.
issoc 2012
:
1-7
[doi]
Asynchronous parallel MPSoC simulation on the Single-Chip Cloud Computer
Christoph Roth
,
Simon Reder
,
Gokhan Erdogan
,
Oliver Sander
,
Gabriel Marchesan Almeida
,
Harald Bucher
,
Jürgen Becker
.
issoc 2012
:
1-8
[doi]
Ultra-low latency NoC testing via pseudo-random test pattern compaction
Hervé Tatenguem
,
Alessandro Strano
,
Vineeth Govind
,
Jaan Raik
,
Davide Bertozzi
.
issoc 2012
:
1-6
[doi]
PowerMemo: A power profiling tool for mobile devices in an emulated wireless environment
Shiao Li Tsao
,
Chih-Chen Kao
,
Ilter Suat
,
Yuchen Kuo
,
Yi-Hsin Chang
,
Cheng-Kun Yu
.
issoc 2012
:
1-5
[doi]
A hybrid chip interconnection architecture with a global wireless network overlaid on top of a wired network-on-chip
Ling Wang
,
Zhen Wang
,
Yingtao Jiang
.
issoc 2012
:
1-4
[doi]
Statistical timing characterization
Zeqin Wu
,
Philippe Maurine
,
Nadine Azémard
,
Gilles R. Ducharme
.
issoc 2012
:
1-4
[doi]
Resource-shared custom instruction generation under performance/area constraints
Di Wu
,
Junwhan Ahn
,
Imyong Lee
,
Kiyoung Choi
.
issoc 2012
:
1-6
[doi]
Application-aware spinlock control using a hardware scheduler in MPSoC platforms
Diandian Zhang
,
Li Lu
,
Jerónimo Castrillón
,
Torsten Kempf
,
Gerd Ascheid
,
Rainer Leupers
,
Bart Vanthournout
.
issoc 2012
:
1-6
[doi]
Thermal/performance trade-off in network-on-chip architectures
Davide Zoni
,
Simone Corbetta
,
William Fornaciari
.
issoc 2012
:
1-8
[doi]
2011
2011 International Symposium on System on Chip, SoC 2011, Tampere, Finland, October 31 - November 2, 2011
IEEE,
2011.
[doi]
A coarse-grained reconfigurable protocol processor
Mohammad Badawi
,
Ahmed Hemani
.
issoc 2011
:
102-107
[doi]
OpenCL implementation of Cholesky matrix decomposition
Claudio Brunelli
,
Eero Aho
,
Heikki Berg
.
issoc 2011
:
62-67
[doi]
Bringing Network-on-Chip links to 45nm
Marco Ferraresi
,
Giuseppina Gobbo
,
Daniele Ludovici
,
Davide Bertozzi
.
issoc 2011
:
122-127
[doi]
Effects of loop unrolling and use of instruction buffer on processor energy consumption
Vladimír Guzma
,
Teemu Pitkänen
,
Jarmo Takala
.
issoc 2011
:
82-85
[doi]
Mismatch characterization of high-speed NoC links using asynchronous sub-sampling
Sebastian Höppner
,
Dennis Walter
,
Georg Ellguth
,
René Schüffny
.
issoc 2011
:
112-115
[doi]
A hybrid model of speculative execution and scout threading for auto-memoization processor
Tomoki Ikegaya
,
Ryosuke Oda
,
Tatsuhiro Yamada
,
Tomoaki Tsumura
,
Hiroshi Matsuo
,
Yasuhiko Nakashima
.
issoc 2011
:
22-28
[doi]
Customizable Datapath Integrated Lock Unit
Pekka Jääskeläinen
,
Erno Salminen
,
Otto Esko
,
Jarmo Takala
.
issoc 2011
:
29-33
[doi]
Analyzing transport and MAC layer in system-level performance simulation
Subayal Khan
,
Jukka Saastamoinen
,
Mikko Majanen
,
Jyrki Huusko
,
Jari Nurmi
.
issoc 2011
:
1-8
[doi]
An automatic experimental set-up for robustness analysis of designs implemented on SRAM FPGAS
Uli Kretzschmar
,
Armando Astarloa
,
Jusús Lazaro
,
Jaime Jimenez
,
Aitzol Zuloaga
.
issoc 2011
:
96-101
[doi]
Synchronizing distributed state machines in a coarse grain reconfigurable architecture
Omer Malik
,
Ahmed Hemani
.
issoc 2011
:
128-135
[doi]
Static analysis method for deadlock detection in SystemC designs
Mikhail J. Moiseev
,
Alexey V. Zakharov
,
Ilya Klotchkov
,
Sergey I. Salishev
.
issoc 2011
:
42-47
[doi]
Building a RTOS for MPSoC dataflow programming
Yaset Oliva
,
Maxime Pelcat
,
Jean-François Nezan
,
Jean-Christophe Prévotet
,
Slaheddine Aridhi
.
issoc 2011
:
143-146
[doi]
A set of traffic models for Network-on-Chip benchmarking
Esko Pekkarinen
,
Lasse Lehtonen
,
Erno Salminen
,
Timo D. Hämäläinen
.
issoc 2011
:
78-81
[doi]
A system level power consumption estimation for MPSoC
Santhosh Kumar Rethinagiri
,
Rabie Ben Atitallah
,
Jean-Luc Dekeyser
.
issoc 2011
:
56-61
[doi]
Applying IP-XACT in product data management
Erno Salminen
,
Timo D. Hämäläinen
,
Marko Hännikäinen
.
issoc 2011
:
86-91
[doi]
Increasing energy efficiency of automotive E/E-architectures with Intelligent Communication Controllers for FlexRay
Christoph Schmutzler
,
Abdallah Lakhtel
,
Martin Simons
,
Jürgen Becker
.
issoc 2011
:
92-95
[doi]
Automatic calibration of streaming applications for software mapping exploration
Weihua Sheng
,
Stefan Schürmans
,
Maximilian Odendahl
,
Rainer Leupers
,
Gerd Ascheid
.
issoc 2011
:
136-142
[doi]
moviTest: A Test Environment dedicated to multi-core embedded architectures
Teodor Tite
,
Adelina Vig
,
Nicolae Olteanu
,
Cristian Cuna
.
issoc 2011
:
108-111
[doi]
Impact of proactive temperature management on performance of Networks-on-Chip
Tim Wegner
,
Martin Gag
,
Dirk Timmermann
.
issoc 2011
:
116-121
[doi]
Sign in
or
sign up
to see more results.