Journal: IEEE Design & Test of Computers

Volume 39, Issue 6

4 -- 0Partha Pratim Pande. Special Issue on NOCS 2022
5 -- 15Hao Luan, Yu Yao, Chang Huang. A Many-Ported and Shared Memory Architecture for High-Performance ADAS SoCs
16 -- 27Uday Mallappa, Chung-Kuan Cheng, Bill Lin 0001. JARVA: Joint Application-Aware Oblivious Routing and Static Virtual Channel Allocation
28 -- 38Chamika Sudusinghe, Subodha Charles, Sapumal Ahangama, Prabhat Mishra 0001. Eavesdropping Attack Detection Using Machine Learning in Network-on-Chip Architectures
39 -- 47Bharat Bisht, Shirshendu Das. BHT-NoC: Blaming Hardware Trojans in NoC Routers
48 -- 57Zhiqiang Chen, Rangyu Deng, Kun Zeng, Xiaoqiang Ni, Hongwei Zhou. Traversal Packets: Opportunistic Bypass Packets for Deadlock Recovery
58 -- 69Neiel Leyva, Alireza Monemi, Enrique Vallejo 0001. SynFull-RTL: Evaluation Methodology for RTL NoC Designs
70 -- 78Vida Sobhani, Kevin Kauth, Tim Stadtmann, Tobias Gemmeke. Deadlock-Freedom in Computational Neuroscience Simulators
79 -- 89Zhenmin Li, Yuqing Ma, Gaoming Du, Xiaolei Wang, Yukun Song, Duoli Zhang. RB-OLITS: A Worst Case Reorder Buffer Size Reduction Approach for 3-D-NoC
90 -- 98Sudeep Pasricha, John Jose, Sujay Deb. Electronic, Wireless, and Photonic Network-on-Chip Security: Challenges and Countermeasures
99 -- 109Chixiao Chen, Jieming Yin, Yarui Peng, Maurizio Palesi, Wenxu Cao, Letian Huang, Amit Kumar Singh 0002, Haocong Zhi, Xiaohang Wang 0001. Design Challenges of Intrachiplet and Interchiplet Interconnection
110 -- 0Cláudio Machado Diniz, Bruno Zatt. Guest Editors' Introduction: SBCCI 2021
111 -- 118Freddy Gabbay, Avi Mendelson, Basel Salameh, Majd Ganaiem. A Design Flow and Tool for Avoiding Asymmetric Aging
119 -- 127Jiovana Sousa Gomes, Tulio Pereira Bitencourt, Sergio Bampi, Fábio Luís Livi Ramos. Low-Power High-Throughput Architecture for AV1 Arithmetic Decoder
128 -- 137Tulio Pereira Bitencourt, Fábio Luís Livi Ramos, Sergio Bampi. Power-Saving 8K Real-Time AV1 Arithmetic Encoder Architecture
138 -- 146Michael Guilherme Jordan, Guilherme Korol, Tiago Knorst, Mateus Beck Rutzig, Antonio Carlos Schneider Beck. ERIN: Energy-Aware Resource-Provisioning Framework for CPU-FPGA Multitenant Environment
147 -- 155Maria D. Vieira, Samuel S. H. Ng, Marcel Walter, Robert Wille, Konrad Walus, Ricardo S. Ferreira 0001, Omar P. Vilela Neto, José Augusto Miranda Nacif. Three-Input NPN Class Gate Library for Atomic Silicon Quantum Dots
156 -- 164M. Shafkat M. Khan, Chengjie Xi, Aslam A. Khan, M. Tanjidur Rahman, Mark M. Tehranipoor, Navid Asadizanjani. Secure Interposer-Based Heterogeneous Integration
165 -- 171Zhongbao Wang, Zihui Zhu, Shipeng Zhao, Hongmei Liu 0007, Shiqiang Fu, Shaojun Fang. Large Power Division Ratio Branch-Line Coupler With Differential Through and Differential to Single-Ended Coupling
172 -- 179Liton Kumar Biswas, Leonidas Lavdas, M. Tanjidur Rahman, Mark M. Tehranipoor, Navid Asadizanjani. On Backside Probing Techniques and Their Emerging Security Threats
180 -- 0Scott Davidson. Small Is Good

Volume 39, Issue 5

4 -- 0Partha Pratim Pande. Special Issue on Design and Test of Multidie Packages
5 -- 6Adam Cron, Hailong Jiao, Erik Jan Marinissen. Guest Editors' Introduction: Special Issue on Design and Test of Multidie Packages
7 -- 16Teresa McLaurin, Adam Cron. Applying IEEE Test Standards to Multidie Designs
17 -- 25Jean-François Côté, Jeff Fan, Sean Shen, Givargis Danialy 0001, Marcin Lipinski, Michael Garbers, Wu Yang, Martin Keim, Andreas Glowatz, Joe Reynick, Ayush Patel, Joanna Michna. Affordable and Comprehensive Testing of 3-D Stacked Die Devices
26 -- 33Chen Wei, Xiaole Cui, Xiaoxin Cui. A Global Self-Repair Method for TSV Arrays With Adaptive FNS-CAC Codec
34 -- 42Tianming Ni, Jingchang Bian, Zhao Yang, Mu Nie, Liang Yao, Zhengfeng Huang, Aibin Yan, Xiaoqing Wen. Broadcast-TDMA: A Cost-Effective Fault-Tolerance Method for TSV Lifetime Reliability Enhancement
43 -- 53Roman Pawelczyk, Damian Grzechca. Improvement of Functional Safety of the Level-Crossing Barrier Machine by a Noninvasive Angle-Detection Method
54 -- 61Donghyun Kang, Soonhoi Ha. Datapath Extension of NPUs to Support Nonconvolutional Layers Efficiently
62 -- 69Nhat-An Nguyen, Hien Vu, Massoud Pedram, Donghwa Shin. An Attachable Battery-Supercapacitor Hybrid for Large Pulsed Load
70 -- 78Andrew B. Kahng, Minsoo Kim, Seungwon Kim, Mingyu Woo. RosettaStone: Connecting the Past, Present, and Future of Physical Design Research
79 -- 87Kazuki Monta, Leonidas Katselas, Ferenc Fodor, Takuji Miki, Alkis A. Hatzopoulos, Makoto Nagata, Erik Jan Marinissen. Testing Embedded Toggle Generation Through On-Chip IR-Drop Measurements
88 -- 97Marshal Raj, Raja Sekar Kumaresan, G. Lakshminarayanan. Majority-Logic-Based Self-Checking Adder in Quantum-Dot Cellular Automata
98 -- 0Scott Davidson. Standing on the Shoulders of ..

Volume 39, Issue 4

4 -- 0Partha Pratim Pande. Special Issue on 2021 Top Picks in Hardware and Embedded Security
5 -- 6Srini Devadas, Jeyavijayan Rajendran. Guest Editors' Introduction: Special Issue on 2021 Top Picks in Hardware and Embedded Security
7 -- 14Lejla Batina, Shivam Bhasin, Dirmanto Jap, Stjepan Picek. SCA Strikes Back: Reverse-Engineering Neural Network Architectures Using Side Channels
15 -- 22Weizhe Hua, Zhiru Zhang, G. Edward Suh. Reverse-Engineering CNN Models Using Side-Channel Attacks
23 -- 32Charalambos Konstantinou, Xueyang Wang, Prashanth Krishnamurthy, Farshad Khorrami, Michail Maniatakos, Ramesh Karri. HPC-Based Malware Detectors Actually Work: Transition to Practice After a Decade of Research
33 -- 40Jonas Krautter, Dennis R. E. Gnad, Mehdi B. Tahoori. Remote Fault Attacks in Multitenant Cloud FPGAs
41 -- 48Ilias Giechaskiel, Kasper Rasmussen, Ken Eguro. Long-Wire Leakage: The Threat of Crosstalk
49 -- 57Mohammadkazem Taram, Ashish Venkat, Dean M. Tullsen. Mitigating Speculative Execution Attacks via Context-Sensitive Fencing
58 -- 63Wei-Hao Chen, Shi-Yu Huang. On-Chip Jitter Learning for PLL
64 -- 70Mahesh Chandra. On the Implementation of Fixed-Point Exponential Function for Machine Learning and Signal- Processing Accelerators
71 -- 80Sai Kiran Cherupally, Jian Meng, Adnan Siraj Rakin, Shihui Yin, Mingoo Seok, Deliang Fan, Jae-sun Seo. Improving DNN Hardware Accuracy by In-Memory Computing Noise Injection
81 -- 89Dinesh Ganesan, Binsu J. Kailath. Graph-Based Circuit Simulator for Switched Capacitor Circuits
90 -- 93Cristiana Bolchini, Ingrid Verbauwhede. DATE 2022: Aiming for an Online/ Onsite Format and Finally Moving to Online Only
94 -- 0Scott Davidson. Security Arms Race

Volume 39, Issue 3

4 -- 0Partha Pratim Pande. Special Issue on Benchmarking Machine Learning Systems and Applications
5 -- 7Sai Manoj Pudukotai Dinakarrao, Arun Joseph, Amlan Ganguly, Anand Haridass, Vijay Janappa Reddi. Guest Editors' Introduction: Special Issue on Benchmarking Machine Learning Systems and Applications
8 -- 17Hao Kong, Shuo Huai, Di Liu, Lei Zhang 0072, Hui Chen, Shien Zhu, Shiqing Li, Weichen Liu, Manu Rastogi, Ravi Subramaniam, Madhu Athreya, M. Anthony Lewis. EDLAB: A Benchmark for Edge Deep Learning Accelerators
18 -- 27Geoffrey W. Burr, SukHwan Lim, Boris Murmann, Rangharajan Venkatesan, Marian Verhelst. Fair and Comprehensive Benchmarking of Machine Learning Processing Chips
28 -- 36Michaela Blott, Alina Vasilciuc, Miriam Leeser, Linda Doyle. Evaluating Theoretical Baselines for ML Benchmarking Across Different Accelerators
37 -- 44Aidin Shiri, Arnab Neelim Mazumder, Bharat Prakash, Houman Homayoun, Nicholas R. Waytowich, Tinoosh Mohsenin. A Hardware Accelerator for Language-Guided Reinforcement Learning
45 -- 53Seyed Ahmad Mirsalari, Najmeh Nazari, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab. FaCT-LSTM: Fast and Compact Ternary Architecture for LSTM Recurrent Neural Networks
54 -- 62Amid Mozelli, Nima Taherinejad, Axel Jantsch. A Study on Confidence: An Unsupervised Multiagent Machine Learning Experiment
63 -- 72Amira Guesmi, Ihsen Alouani, Mouna Baklouti, Tarek Frikha, Mohamed Abid. SIT: Stochastic Input Transformation to Defend Against Adversarial Attacks on Deep Neural Networks
73 -- 81Aditya Lohana, Ansh Rupani, Shubham Rai, Akash Kumar 0001. Efficient Privacy-Aware Federated Learning by Elimination of Downstream Redundancy
82 -- 90Arnab Neelim Mazumder, Haoran Ren, Hasib-Al-Rashid, Morteza Hosseini, Vandana Chandrareddy, Houman Homayoun, Tinoosh Mohsenin. Automatic Detection of Respiratory Symptoms Using a Low-Power Multi-Input CNN Processor
91 -- 116Sathwika Bavikadi, Abhijitt Dhavlle, Amlan Ganguly, Anand Haridass, Hagar Hendy, Cory E. Merkel, Vijay Janapa Reddi, Purab Ranjan Sutradhar, Arun Joseph, Sai Manoj Pudukotai Dinakarrao. A Survey on Machine Learning Accelerators and Evolutionary Hardware Platforms
117 -- 124Michele Portolan, Emanuele Valea, Paolo Maistri, Giorgio Di Natale. Flexible and Portable Management of Secure Scan Implementations Exploiting P1687.1 Extensions
125 -- 133Hala Youssef Darweesh, Càndid Reig, Gildas Léger. Self-Healing of Redundant FLASH ADCs
134 -- 136Stefano Di Carlo. TTTC News
137 -- 0Scott Davidson. Benchmarking Benchmarking

Volume 39, Issue 2

4 -- 0Partha Pratim Pande. Special Issue on Near-Memory and In-Memory Processing
5 -- 6Fernando Gehm Moraes, Frank Sill Torres. Guest Editors' Introduction: SBCCI 2020
7 -- 14Victor Oliveira Costa, Carlos Gabriel de Araujo Gewehr, Julio Costella Vicenzi, Everton Alceu Carara, Leonardo Londero de Oliveira. Dedicated Shapelet Distance Engine for Time-Series Classification
15 -- 22Felipe G. A. e Silva, Adahil Muniz, Marco P. Stefani, Jarbas Silveira, César A. M. Marcon. Expanding Column Line Code Adaptive (CLC-A) for Protecting 32-and 64-Bit Data
23 -- 30Julio Saraçol Domingues Júnior, Leomar Soares da Rosa Jr., Felipe de Souza Marques. Migortho: A Design Automation Flow for QCA Circuits
31 -- 37Talita Alves Borges, Vagner Santos Da Rosa, Cristina Meinhardt, Leonardo Bandeira Soares. Accuracy-Configurable 2-D Gaussian Filter Architecture for Energy-Efficient Image Processing
38 -- 45Luiz Neto, Marcel Moscarelli Corrêa, Daniel Palomino 0001, Luciano Agostini, Guilherme Corrêa. Power-Quality Configurable Hardware Design for AV1 Directional Intraframe Prediction
46 -- 47Hai Li 0001, Alaa R. Alameldeen, Onur Mutlu. Guest Editors' Introduction: Near-Memory and In-Memory Processing
48 -- 55Hongwu Jiang, Wantong Li, Shanshi Huang, Stefan Cosemans, Francky Catthoor, Shimeng Yu. Analog-to-Digital Converter Design Exploration for Compute-in-Memory Accelerators
56 -- 64Qingrong Huang, Dayane Reis, Chao Li, Di Gao, Michael T. Niemier, Xiaobo Sharon Hu, Mohsen Imani, Xunzhao Yin, Cheng Zhuo. Computing-In-Memory Using Ferroelectrics: From Single- to Multi-Input Logic
65 -- 73Chenfeng Zhao, Xuan Zhang 0001, Roger D. Chamberlain. Executing Data Integration Effectively and Efficiently Near the Memory
74 -- 83Haoran Lyu, Fengwei An, Shirui Zhao, Wei Mao, Hao Yu 0001. A 703.4-GOPs/W Binary SegNet Processor With Computing-Near-Memory Architecture for Road Detection
84 -- 89Parham Sadeghi, Alireza Ejlali. A Case for PIM Support in General-Purpose Compilers
90 -- 99Felix Staudigl, Farhad Merchant, Rainer Leupers. A Survey of Neuromorphic Computing-in-Memory: Architectures, Simulators, and Security
100 -- 111Jiaji He, Xiaolong Guo, Mark M. Tehranipoor, Apostol Vassilev 0001, Yier Jin. EM Side Channels in Hardware Security: Attacks and Defenses
112 -- 120Reza Ghanaatian, Marco Widmer, Andreas Burg. Design for Test With Unreliable Memories by Restoring the Beauty of Randomness
121 -- 128Rémy Vauché, Rym Assila Belhadj Mefteh, Fayrouz Haddad, Wenceslas Rahajandraibe, Fayu Wan, Sébastien Lalléchère, Glauco Fontgalland, Preeti Thakur, Atul Thakur, Blaise Ravelo. Bandpass NGD Time- Domain Experimental Test of Double-Li Microstrip Circuit
129 -- 130Theo Theocharides. TTTC News
131 -- 0Scott Davidson. The Memory Shuffle

Volume 39, Issue 1

4 -- 0Jörg Henkel. Designing Autonomous Systems
5 -- 7Selma Saidi, Dirk Ziegenbein, Jyotirmoy V. Deshmukh, Rolf Ernst. Guest Editors' Introduction: Special Issue on Autonomous Systems Design
8 -- 23Selma Saidi, Dirk Ziegenbein, Jyotirmoy V. Deshmukh, Rolf Ernst. Autonomous Systems Design: Charting a New Discipline
24 -- 34Hoang-Dung Tran, Weiming Xiang, Taylor T. Johnson. Verification Approaches for Learning-Enabled Autonomous Cyber-Physical Systems
35 -- 41Nicola Capodieci, Paolo Burgio, Roberto Cavicchioli, Ignacio Sañudo Olmedo, Marco Solieri, Marko Bertogna. Real-Time Requirements for ADAS Platforms Featuring Shared Memory Hierarchies
42 -- 48Nima Taherinejad, Andreas Herkersdorf, Axel Jantsch. Autonomous Systems, Trust, and Guarantees
49 -- 56David Harel, Assaf Marron, Joseph Sifakis. Creating a Foundation for Next-Generation Autonomous Systems
57 -- 66Fayu Wan, Taochen Gu, Sébastien Lalléchère, Preeti Thakur, Atul Thakur, Wenceslas Rahajandraibe, Blaise Ravelo. Design and Test of Innovative Three-Couplers-Based Bandpass Negative Group Delay Active Circuit
67 -- 76Blaise Ravelo, Fayu Wan, Sébastien Lalléchère, Glauco Fontgalland, Wenceslas Rahajandraibe. Design and Test of Crab-Shaped Negative Group Delay Circuit
77 -- 84Jean Bruant, Pierre-Henri Horrein, Olivier Muller, Tristan Groléat, Frédéric Pétrot. Toward Agile Hardware Designs With Chisel: A Network Use Case
85 -- 91Mahesh Chandra. A Novel Method for Scalable VLSI Implementation of Hyperbolic Tangent Function
92 -- 93Yiran Chen, Sherief Reda. ISLPED 2021: The 25th Anniversary!
94 -- 96Andreas Gerstlauer, Aviral Shrivastava. Report on the 2021 Embedded Systems Week (ESWEEK)
97 -- 99Harry Foster, Rob Oshana, Jörg Henkel, Vivek De. Report on the Design Automation Conference (DAC 2021)
100 -- 101Theo Theocharides. TTTC News
102 -- 0Scott Davidson. 25 Years (and a Bit More) of The Last Byte