Journal: IEEE Micro

Volume 24, Issue 6

5 -- 0Pradip Bose. Computer architecture research: Shifting priorities and newer challenges
6 -- 0Shane Greenstein. Canaries, whips, and sails
8 -- 9David H. Albonesi. Guest Editor s Introduction: Micro s Top Picks from Microarchitecture Conferences
10 -- 20Dan Ernst, Shidhartha Das, Seokwoo Lee, David Blaauw, Todd M. Austin, Trevor N. Mudge, Nam Sung Kim, Krisztián Flautner. Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation
22 -- 29Jared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk. Fingerprinting: Bounding Soft-Error-Detection Latency and Bandwidth
30 -- 37Christopher T. Weaver, Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt. Reducing the Soft-Error Rate of a High-Performance Microprocessor
38 -- 49Xiaodong Li, Zhenmin Li, Pin Zhou, Yuanyuan Zhou, Sarita V. Adve, Sanjeev Kumar. Performance-Directed Energy Management for Storage Systems
50 -- 56Pin Zhou, Feng Qin, Wei Liu, Yuanyuan Zhou, Josep Torrellas. iWatcher: Simple, General Architectural Support for Software Debugging
57 -- 61Brian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn. Interaction Cost: For When Event Counts Just Don t Add Up
62 -- 73Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton. Continual Flow Pipelines: Achieving Resource-Efficient Latency Tolerance
74 -- 82Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen. Helper Threads via Virtual Multithreading
84 -- 90Ronny Krashinsky, Christopher Batten, Mark Hampton, Steve Gerding, Brian Pharris, Jared Casper, Krste Asanovic. The Vector-Thread Architecture
92 -- 103Lance Hammond, Brian D. Carlstrom, Vicky Wong, Michael K. Chen, Christos Kozyrakis, Kunle Olukotun. Transactional Coherence and Consistency: Simplifying Parallel Hardware and Software
104 -- 109Jaehyuk Huh, Doug Burger, Jichuan Chang, Gurindar S. Sohi. Speculative Incoherent Cache Protocols
110 -- 117Harold W. Cain, Mikko H. Lipasti. Memory Ordering: A Value-Based Approach
118 -- 127Simha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler. Scalable Hardware Memory Disambiguation for High-ILP Processors
133 -- 134Richard Mateosian. Micro Review: More on old themes

Volume 24, Issue 5

5 -- 0Pradip Bose. Communication versus Computation
6 -- 0Richard H. Stern. Vicarious liability for infringement
7 -- 9Ioannis Papaefstathiou, Nikos A. Nikolaou, Bharat T. Doshi, Eric Grosse. Guest Editors Introduction: Network Processors for Future High-End Systems and Applications
10 -- 18Jakob Carlström, Thomas Boden. Synchronous Dataflow Architecture for Network Processors
20 -- 33Ioannis Papaefstathiou, Stylianos Perissakis, Theofanis Orphanoudakis, Nikos A. Nikolaou, George Kornaros, Nicholas Zervos, George E. Konstantoulakis, Dionisios N. Pnevmatikatos, Kyriakos Vlachos. PRO3: A Hybrid NPU Architecture
34 -- 44Yan Luo, Jun Yang, Laxmi N. Bhuyan, Li Zhao. NePSim: A Network Processor Simulator with a Power Evaluation Framework
45 -- 54Niraj Shah, William Plishker, Kaushik Ravindran, Kurt Keutzer. NP-Click: A Productive Software Development Approach for Network Processors
55 -- 69Zhangxi Tan, Chuang Lin, Hao Yin, Bo Li. Optimization and Benchmark of Cryptographic Algorithms on Network Processors
70 -- 78Taeweon Suh, Hsien-Hsin S. Lee, Douglas M. Blough. Integrating Cache Coherence Protocols for Heterogeneous Multiprocessor Systems, Part 2
83 -- 85Shane Greenstein. Creative Destruction and Deconstruction
86 -- 88Richard Mateosian. Seek and Show

Volume 24, Issue 4

0 -- 86Richard H. Stern. FTC turns back challenge on patent coverage
5 -- 6Pradip Bose. Editor in Chief s Message: Saving power-Lessons from embedded systems
8 -- 9Alessio Bechini, Thomas M. Conte, Cosimo Antonio Prete. Guest Editors Introduction: Opportunities and Challenges in Embedded Systems
10 -- 22Alexander G. Dean. Efficient Real-Time Fine-Grained Concurrency on Low-Cost Microcontrollers
24 -- 31Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández. QoS for High-Performance SMT Processors in Embedded Systems
33 -- 41Taeweon Suh, Hsien-Hsin S. Lee, Douglas M. Blough. Integrating Cache Coherence Protocols for Heterogeneous Multiprocessor Systems, Part 1
42 -- 53David L. Andrews, Douglas Niehaus, Razali Jidin, Michael Finley, Wesley Peck, Michael Frisbie, Jorge L. Ortiz, Ed Komp, Peter J. Ashenden. Programming Models for Hybrid FPGA-CPU Computational Components: A Missing Link
54 -- 66Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner. Design Space Exploration for Real-Time Embedded Stream Processors
67 -- 78Andreas Krall, Ivan Pryanishnikov, Ulrich Hirnschrott, Christian Panis. xDSPcore: A Compiler-Based Configurable Digital Signal Processor
79 -- 81Shane Greenstein. The diamond-wafer paradox: A modern mystery
82 -- 0. Micro News
87 -- 88Richard Mateosian. Attacking complexity

Volume 24, Issue 3

5 -- 0Pradip Bose. EIC s Message: General-purpose versus application-specific processors
6 -- 7Richard H. Stern. Collecting patent infringement damages on unpatented products
8 -- 9Alexander V. Veidenbaum. Guest Editor s Introduction: Application-Specific Processors
10 -- 20Michael L. Chu, Kevin Fan, Rajiv A. Ravindran, Scott A. Mahlke. Cost-Sensitive Partitioning in an Architecture Synthesis System for Multicluster Processors
21 -- 33Peter Petrov, Alex Orailoglu. Transforming Binary Code for Low-Power Embedded Processors
34 -- 45Alireza Hodjat, Ingrid Verbauwhede. High-Throughput Programmable Cryptocoprocessor
46 -- 55Matthias Meyer. A Novel Processor Architecture with Exact Tag-Free Pointers
56 -- 66Faraydon Karim, Alain Mellan, Anh Nguyen, Utku Aydonat, Tarek S. Abdelrahman. A Multilevel Computing Architecture for Embedded Multimedia Applications
67 -- 69Shane Greenstein. Imitation happens
70 -- 71Richard Mateosian. Back to the future
72 -- 0. Micro News

Volume 24, Issue 2

5 -- 0Pradip Bose. EIC s Message: Chip-level microarchitecture trends
6 -- 0Richard H. Stern. Challenging search engines and pop-ups under copyright law--Part 3
7 -- 9Michael J. Flynn, Pradeep K. Dubey. Guest Editors Introduction: Hot Chips 15--Scaling the Silicon Mountain
10 -- 18Stefan Rusu, Harry Muljono, Brian S. Cherkauer. Itanium 2 Processor 6M: Higher Frequency and Larger L3 Cache
20 -- 30Sanjiv Kapil, Harlan McGhan, Jesse Lawrendra. A Chip Multithreaded Processor for Network-Facing Workloads
32 -- 39Deepu Talla, Ching Yu Hung, Raj Talluri, Frank Brill, David Smith, David Brier, Bruce Xiong, Derek Huynh. Anatomy of a Portable Digital Mediaprocessor
40 -- 47Ronald N. Kalla, Balaram Sinharoy, Joel M. Tendler. IBM Power5 Chip: A Dual-Core Multithreaded Processor
48 -- 59Uri Cummings. PivotPoint: Clockless Crossbar Switch for High-Performance Embedded Systems
60 -- 69V. C. Ravikumar, Rabi N. Mahapatra. TCAM Architecture for IP Lookup Using Prefix Properties
73 -- 75Shane Greenstein. The paradox of commodities
76 -- 77. Micro News
79 -- 80Chuck Moore. Getting it right

Volume 24, Issue 1

0 -- 73Richard H. Stern. Challenging Search Engines and Pop-Ups Under Copyright Law: Part 2
5 -- 0Pradip Bose. Editor in Chief s Message: New Challenges and Burning Issues
8 -- 9J. Bryan Lyles. Guest Editor s Introduction: Hot Interconnects 11 - Solving Network Bottlenecks
10 -- 22Justin Gus Hurwitz, Wu-chun Feng. End-to-End Performance of 10-Gigabit Ethernet on Commodity Systems
24 -- 31Greg J. Regnier, Dave B. Minturn, Gary L. McAlpine, Vikram A. Saletore, Annie Foong. ETA: Experience with an Intel Xeon Processor as a Packet Processing Engine
32 -- 41Andrew Lines. Asynchronous Interconnect for Synchronous SoC Design
42 -- 51Jiuxing Liu, B. Chandrasekaran, Weikuan Yu, Jiesheng Wu, Darius Buntinas, Sushmitha P. Kini, Dhabaleswar K. Panda, Pete Wyckoff. Microbenchmark Performance Comparison of High-Speed Cluster Interconnects
52 -- 61Sarang Dharmapurikar, Praveen Krishnamurthy, Todd S. Sproull, John W. Lockwood. Deep Packet Inspection using Parallel Bloom Filters
62 -- 69David V. Schuehler, James Moscola, John W. Lockwood. Architecture for a Hardware-Based, TCP/IP Content-Processing System
74 -- 75Richard Mateosian. Single Sourcing Mount Fuji
76 -- 78Shane M. Greenstein. Why Inventors are not Famous
80 -- 0Charles R. Moore. Managing the Transition from Complexity to Elegance: Design Convergence