Journal: IEEE Micro

Volume 40, Issue 6

4 -- 5Lizy Kurian John. Chip Design 2020
6 -- 7Jaydeep P. Kulkarni. Chip Design 2020
8 -- 22Aayush Ankit, Indranil Chakraborty, Amogh Agrawal, Mustafa Fayez Ali, Kaushik Roy 0001. Circuits and Architectures for In-Memory Computing-Based Machine Learning Accelerators
23 -- 32Brucek Khailany, Haoxing Ren, Steve Dai, Saad Godil, Ben Keller, Robert Kirby, Alicia Klinefelter, Rangharajan Venkatesan, Yanqing Zhang, Bryan Catanzaro, William J. Dally. Accelerating Chip Design With Machine Learning
33 -- 48Ali Keshavarzi, Kai Ni 0004, Wilbert van den Hoek, Suman Datta, Arijit Raychowdhury. FerroElectronics for Edge Intelligence
49 -- 0David A. Patterson, Yakun Sophia Shao. Commercial Products
50 -- 58Christian Jacobi, Charles Webb. History of IBM Z Mainframe Processors
59 -- 66Ram Rangan, Naman Turakhia, Alexandre Joly. Countering Load-to-Use Stalls in the NVIDIA Turing GPU
67 -- 73Leah Shalev, Hani Ayoub, Nafea Bshara, Erez Sabbag. A Cloud-Optimized Transport Protocol for Elastic and Scalable HPC
74 -- 84Johann Knechtel, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Yogesh Singh Chauhan, Jörg Henkel, Ozgur Sinanoglu, Hussam Amrouch. Power Side-Channel Attacks in Negative Capacitance Transistor
86 -- 88Shane Greenstein. The Fox and Shepherd Problem

Volume 40, Issue 5

4 -- 5Lizy Kurian John. Machine Learning for Systems, Biological Computing, and More
6 -- 7Heiner Litz, Milad Hashemi. Machine Learning for Systems
8 -- 16Martin Maas 0001. A Taxonomy of ML for Systems Problems
17 -- 25Vinu Joseph, Ganesh Gopalakrishnan, Saurav Muralidharan, Michael Garland, Animesh Garg. A Programmable Approach to Neural Network Compression
26 -- 36Yanqi Zhou, Sudip Roy 0002, AmirAli Abdolrashidi, Daniel Lin-Kit Wong, Peter C. Ma, Qiumin Xu, Azalia Mirhoseini, James Laudon. A Single-Shot Generalized Device Placement for Large Dataflow Graphs
37 -- 45Ahmed T. Elthakeb, Prannoy Pilligundla, Fatemehsadat Mireshghallah, Amir Yazdanbakhsh, Hadi Esmaeilzadeh. ReLeQ : A Reinforcement Learning Approach for Automatic Deep Quantization of Neural Networks
46 -- 55Cheng Fu, Huili Chen, Zhenheng Yang, Farinaz Koushanfar, Yuandong Tian, Jishen Zhao. Enhancing Model Parallelism in Neural Architecture Search for Multidevice System
56 -- 63Ke Wang 0030, Hao Zheng 0005, Ahmed Louri. TSA-NoC: Learning-Based Threat Detection and Mitigation for Secure Network-on-Chip Architecture
64 -- 0Abhishek Bhattacharjee. Biology and Systems Interactions
65 -- 75Mohammed Alser, Zülal Bingöl, Damla Senol Cali, Jeremie S. Kim, Saugata Ghose, Can Alkan, Onur Mutlu. Accelerating Genome Analysis: A Primer on an Ongoing Journey
76 -- 86Ashley P. Stephenson, Max Willsey, Jeff McBride, Sharon Newman, Bichlien Nguyen, Chris Takahashi, Karin Strauss, Luis Ceze. PurpleDrop: A Digital Microfluidics-Based Platform for Hybrid Molecular-Electronics Applications
88 -- 90Shane Greenstein. Triggers, Transmissions, and Adjustments

Volume 40, Issue 4

4 -- 5Lizy Kurian John. Agile Hardware Design
6 -- 9Yungang Bao, Trevor E. Carlson. Agile and Open-Source Hardware
10 -- 21Alon Amid, David Biancolin, Abraham Gonzalez, Daniel Grubb, Sagar Karandikar, Harrison Liew, Albert Magyar, Howard Mao, Albert J. Ou, Nathan Pemberton, Paul Rigge, Colin Schmidt 0001, John Wright, Jerry Zhao, Yakun Sophia Shao, Krste Asanovic, Borivoje Nikolic. Chipyard: Integrated Design, Simulation, and Implementation Framework for Custom SoCs
22 -- 31Jonathan Balkind, Ting-Jung Chang, Paul J. Jackson, Georgios Tziantzioulis, Ang Li, Fei Gao, Alexey Lavrov, Grigory Chirkov, Jinzheng Tu, Mohammad Shahrad, David Wentzlaff. OpenPiton at 5: A Nexus for Open and Agile Hardware Design
32 -- 40Paul N. Whatmough, Marco Donato, Glenn G. Ko, Sae Kyu Lee, David Brooks 0001, Gu-Yeon Wei. CHIPKIT: An Agile, Reusable Open-Source Framework for Rapid Test Chip Development
41 -- 48Xifan Tang, Edouard Giacomin, Baudouin Chauviere, Aurélien Alacchi, Pierre-Emmanuel Gaillardon. OpenFPGA: An Open-Source Framework for Agile Prototyping Customizable FPGAs
49 -- 57Kevin E. Murray, Mohamed A. Elgammal, Vaughn Betz, Tim Ansell, Keith Rothman, Alessandro Comodi. SymbiFlow and VPR: An Open-Source Design Flow for Commercial and Novel FPGAs
58 -- 66Shunning Jiang, Peitian Pan, Yanghui Ou, Christopher Batten. PyMTL3: A Python Framework for Open-Source Hardware Modeling, Generation, Simulation, and Verification
67 -- 75Sheng-Hong Wang, Rafael Trapani Possignolo, Haven Blake Skinner, Jose Renau. LiveHD: A Productive Live Hardware Development Flow
76 -- 84Deeksha Dangwal, Georgios Tzimpragos, Timothy Sherwood. Agile Hardware Development and Instrumentation With PyRTL
85 -- 92Liancheng Jia, Liqiang Lu, Xuechao Wei, Yun Liang 0001. Generating Systolic Array Accelerators With Reusable Blocks
93 -- 102Daniel Petrisko, Farzam Gilani, Mark Wyse, Dai Cheol Jung, Scott Davidson, Paul Gao, Chun Zhao, Zahra Azad, Sadullah Canakci, Bandhav Veluri, Tavio Guarino, Ajay Joshi, Mark Oskin, Michael Bedford Taylor. BlackParrot: An Agile Open-Source RISC-V Multicore for Accelerator SoCs
103 -- 111Luis Vega, Jared Roesch, Joseph McMahan, Luis Ceze. LastLayer: Toward Hardware and Software Continuous Integration
112 -- 119Scott Beamer. A Case for Accelerating Software RTL Simulation
120 -- 130Johan Peltenburg, Jeroen van Straten, Matthijs Brobbel, Zaid Al-Ars, H. Peter Hofstee. Tydi: An Open Specification for Complex Data Structures Over Hardware Streams
134 -- 136Shane Greenstein. Uncomfortable Economic Waters

Volume 40, Issue 3

4 -- 5Lizy Kurian John. Enjoy These Top Picks, While You Work From Home!
6 -- 9Hyesoon Kim. The 2019 Top Picks in Computer Architecture
10 -- 19Yu Gan 0002, Yanqi Zhang, Dailun Cheng, Ankitha Shetty, Priyal Rathi, Nayan Katarki, Ariana Bruno, Justin Hu, Brian Ritchken, Brendon Jackson, Kelvin Hu, Meghna Pancholi, Yuan He, Brett Clancy, Chris Colen, Fukang Wen, Catherine Leung, Siyuan Wang, Leon Zaruvinsky, Mateo Espinosa, Rick Lin, Zhongling Liu, Jake Padilla, Christina Delimitrou. Unveiling the Hardware and Software Implications of Microservices in Cloud and Edge Systems
20 -- 29Hyoukjun Kwon, Prasanth Chatarasi, Vivek Sarkar, Tushar Krishna, Michael Pellauer, Angshuman Parashar. MAESTRO: A Data-Centric Approach to Understand Reuse, Performance, and Hardware Cost of DNN Mappings
30 -- 36Yue Leng, Jian Huang 0006, Chi-Chun Chen, Qiuyue Sun, Yuhao Zhu 0001. Energy-Efficient Video Processing for Virtual Reality
37 -- 46Vidushi Dadu, Jian Weng 0002, Sihao Liu, Tony Nowatzki. Towards General-Purpose Acceleration: Finding Structure in Irregularity
47 -- 55Yu-Ching Hu, Murtuza Lokhandwala, Te I, Hung-Wei Tseng 0001. Varifocal Storage: Dynamic Multiresolution Data Storage
56 -- 63Nayana Prasad Nagendra, Grant Ayers, David I. August, Hyoun Kyu Cho, Svilen Kanev, Christos Kozyrakis, Trivikram Krishnamurthy, Heiner Litz, Tipp Moseley, Parthasarathy Ranganathan. AsmDB: Understanding and Mitigating Front-End Stalls in Warehouse-Scale Computers
64 -- 72Pranav Gokhale, Jonathan M. Baker, Casey Duckering, Frederic T. Chong, Natalie C. Brown, Kenneth R. Brown. Extending the Frontier of Quantum Computers With Qutrits
73 -- 80Prakash Murali, Norbert Matthias Linke, Margaret Martonosi, Ali JavadiAbhari, Nhung Hong Nguyen, Cinthia Huerta Alderete. Architecting Noisy Intermediate-Scale Quantum Computers: A Real-System Study
81 -- 90Jiyong Yu, Mengjia Yan, Artem Khyzha, Adam Morrison 0001, Josep Torrellas, Christopher W. Fletcher. Speculative Taint Tracking (STT): A Comprehensive Protection for Speculatively Accessed Data
91 -- 98Dimitrios Skarlatos, Mengjia Yan, Bhargava Gopireddy, Read Sprabery, Josep Torrellas, Christopher W. Fletcher. MicroScope: Enabling Microarchitectural Replay Attacks
99 -- 107Jiyong Yu, Lucas Hsiung, Mohamad El Hajj, Christopher W. Fletcher. Creating Foundations for Secure Microarchitectures With Data-Oblivious ISA Extensions
108 -- 115Deeksha Dangwal, Weilong Cui, Joseph McMahan, Timothy Sherwood. Trace Wringing for Program Trace Privacy
118 -- 120Shane Greenstein. Pandemics and the Dismal Technology Economy

Volume 40, Issue 2

4 -- 5Lizy Kurian John. Did ML Chips Heat Up the Chip Design Arena?
6 -- 7Christos Kozyrakis, Ian Bratt. The Hot Chips Renaissance
8 -- 16Peter Mattson, Hanlin Tang, Gu-Yeon Wei, Carole-Jean Wu, Vijay Janapa Reddi, Christine Cheng, Cody Coleman, Greg Diamos, David Kanter, Paulius Micikevicius, David A. Patterson, Guenther Schmuelling. MLPerf: An Industry Standard Benchmark Suite for Machine Learning Performance
17 -- 24Eitan Medina, Eran Dagan. Habana Labs Purpose-Built AI Inference and Training Processor Architectures: Scaling AI Training Systems Using Standard Ethernet With Gaudi Processor
25 -- 35Emil Talpes, Atchyuth Gorti, Gagandeep S. Sachdev, Debjit Das Sarma, Ganesh Venkataramanan, Peter Bannon, Bill McGee, Benjamin Floering, Ankit Jalote, Christopher Hsiong, Sahil Arora. Compute Solution for Tesla's Full Self-Driving Computer
36 -- 44John Burgess. RTX on - The NVIDIA Turing GPU
45 -- 52David Suggs, Mahesh Subramony, Dan Bouvier. The AMD "Zen 2" Processor
53 -- 62Andrea Pellegrini, Ashok Kumar Tummala, Jamshed Jalal, Mark Werkheiser, Anitha Kona, Nigel Stephens, Magnus Bruce, Yasuo Ishii, Joseph Pusdesris, Abhishek Raja, Chris Abernathy, Jinson Koppanalil, Tushar Ringe. The Arm Neoverse N1 Platform: Building Blocks for the Next-Gen Cloud-to-Edge Infrastructure SoC
63 -- 71Mark T. Wade, Roy Meade, Chandru Ramamurthy, Michael Rust, Forrest Sedgwick, Vladimir Stojanovic, Derek Van Orden, Chong Zhang, Chen Sun, Sergey Y. Shumarayev, Conor O'Keeffe, Erik Anderson, Tim T. Hoang, David Kehlet, Ravi V. Mahajan, Matthew T. Guzy, Allen Chan, Tina Tran, Shahab Ardalan, Pavan Bhargava, Sidney Buchbinder, Michael L. Davenport, John Michael Fini, Haiwei Lu, Chen Li. TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Package Optical I/O
74 -- 76Shane Greenstein. Expertise at Our Fingertips

Volume 40, Issue 1

4 -- 5Lizy Kurian John. Connectivity! Connectivity! Connectivity! May You Be More Connected Than Ever!!
6 -- 7Ryan E. Grant, Khaled Hamidouche. Hot Interconnects 26
8 -- 14German Maglione Mathey, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles 0001, José Duato. Path2SL: Leveraging InfiniBand Resources to Reduce Head-of-Line Blocking in Fat Trees
15 -- 24Ramin Farjadrad, Mark Kuemerle, Bapi Vinnakota. A Bunch-of-Wires (BoW) Interface for Interchiplet Communication
25 -- 34Joshua Lant, Javier Navaridas, Mikel Luján, John Goodacre. Toward FPGA-Based HPC: Advancing Interconnect Technologies
35 -- 43Ammar Ahmad Awan, Arpan Jain, Ching-Hsiang Chu, Hari Subramoni, Dhabaleswar K. Panda. Communication Profiling and Characterization of Deep-Learning Workloads on Clusters With High-Performance Interconnects
44 -- 49John Gliksberg, Antoine Capra, Alexandre Louvet, Pedro Javier García, Devan Sohier. High-Quality Fault Resiliency in Fat Trees
50 -- 56Sourav Roy, Arvind Kaushik, Rajkumar Agrawal, Joseph Gergen, Wim Rouwet, John Arends. A High-Throughput Network Processor Architecture for Latency-Critical Applications
57 -- 66Phillip Stanley-Marbell, Martin Rinard. Warp: A Hardware Platform for Efficient Multimodal Sensing With Adaptive Approximation
67 -- 74Hoda Mahdiani, Alireza Khadem, Azam Ghanbari, Mehdi Modarressi, Farima Fattahi-Bayat, Masoud Daneshtalab. ΔNN: Power-Efficient Neural Network Acceleration Using Differential Weights
75 -- 82Han Cai, Ji Lin, Yujun Lin, Zhijian Liu, Kuan Wang, Tianzhe Wang, Ligeng Zhu, Song Han. AutoML for Architecting Efficient and Specialized Neural Networks
83 -- 92Masab Ahmad, Halit Dogan, José A. Joao, Omer Khan. In-Hardware Moving Compute to Data Model to Accelerate Thread Synchronization on Large Multicores
94 -- 96Shane Greenstein. The Vital Two Percent