Journal: IEEE Trans. on Circuits and Systems

Volume 64, Issue 8

857 -- 861Peng Chen, Kai Yang, Tianliang Zhang. Analysis of a Class-E Power Amplifier With Shunt Filter for Any Duty Ratio
862 -- 866Hyunuk Kang, Hwiseob Lee, Hansik Oh, Wooseok Lee, Cheon-Seok Park, Keum-Cheol Hwang, Kang-Yoon Lee, Youngoo Yang. Symmetric Three-Way Doherty Power Amplifier for High Efficiency and Linearity
867 -- 871Marcello De Matteis, Andrea Baschirotto. A Biquadratic Cell Based on the Flipped-Source-Follower Circuit
872 -- 876Aboozar Ghorbani-Nejad, Abdolreza Nabavi. A Technique for Enhancing Varactor's Quality Factor in Millimeter-Wave Frequencies
877 -- 881Pei Qin, Quan Xue. A Low-Voltage Folded-Switching Mixer Using Area-Efficient CCG Transconductor
882 -- 886Chuan Qin, Lei Zhang, Chunyuan Zhou, Li Zhang, Yan Wang, Zhiping Yu. Dual AC Boosting Compensation Scheme for Multistage Amplifiers
887 -- 891Shahbaz Abbasi, Arman Galioglu, Atia Shafique, Omer Ceylan, Melik Yazici, Yasar Gurbuz. A PFM-Based Digital Pixel With an Off-Pixel Residue Measurement for Small Pitch FPAs
892 -- 896Nashiru Alhassan, Zekun Zhou, Edgar Sánchez-Sinencio. An All-MOSFET Voltage Reference With -50-dB PSR at 80 MHz for Low-Power SoC Design
897 -- 901Majid Jalalifar, Gyung-Su Byun. An Energy-Efficient Mobile Memory I/O Interface Using Simultaneous Bidirectional Multilevel Dual-Band Signaling
902 -- 906Hussain Alzaher, Mohamad Sawan. Low-Power Channel Select Filters for DVB-H Receivers
907 -- 911Guixian Cheng, Lin Wang, Weikai Xu, Guanrong Chen. Carrier Index Differential Chaos Shift Keying Modulation
912 -- 916Muhammad Fahim Ul Haque, Muhammad Touqir Pasha, Ted Johansson. Aliasing-Compensated Polar PWM Transmitter
917 -- 921Wen-Jun Zhou, Jian-Xin Chen. High-Selectivity Tunable Balanced Bandpass Filter With Constant Absolute Bandwidth
922 -- 926Chunfeng Bai, Jianhui Wu, Chao Chen, Xiaoying Deng. A 35-dBm OIP3 CMOS Constant Bandwidth PGA With Extended Input Range and Improved Common-Mode Rejection
927 -- 931Mahendra Sakare. A Power and Area Efficient Architecture of a PRBS Generator With Multiple Outputs
932 -- 936Sergio Colangeli, Walter Ciccognani, Mirko Palomba, Ernesto Limiti. Verifying Rollett's Proviso on Active Devices Under Arbitrary Passive Embeddings
937 -- 941H. Calisto, Fernando Mellado-Humire. Stochastic Resonance in Simple Electrical Circuits Driven by Quadratic Gaussian Noise
942 -- 946Yao Ching Hsieh, Zhong-Rong Lin, Ming-Cheng Chen, Hsin-Che Hsieh, Yu-Chen Liu, Huang-Jen Chiu. High-Efficiency Wireless Power Transfer System for Electric Vehicle Applications
947 -- 951Yuxin Su, Chunhong Zheng, Paolo Mercorelli. Global Finite-Time Stabilization of Planar Linear Systems With Actuator Saturation
952 -- 956Yao Qian, Hongguang Zhang, Yanqin Chen, Yajie Qin, Danzhu Lu, Zhiliang Hong. A SIDIDO DC-DC Converter With Dual-Mode and Programmable-Capacitor-Array MPPT Control for Thermoelectric Energy Harvesting
957 -- 961Jianbin Qiu, Yanling Wei, Ligang Wu. A Novel Approach to Reliable Control of Piecewise Affine Systems With Actuator Faults
962 -- 966Ahmad Hiasat. An Efficient Reverse Converter for the Three-Moduli Set ( $ 2^{n+1}-1, 2^{n}, 2^{n}-1$ )
967 -- 971Minho Ha, Sunggu Lee. Accurate Hardware-Efficient Logarithm Circuit
972 -- 976Rajkumar Ramadoss, Mehran Mozaffari Kermani, Reza Azarderakhsh. Reliable Hardware Architectures of the CORDIC Algorithm With a Fixed Angle of Rotations
977 -- 981Bocheng Bao, Ning Wang, Quan Xu, Huagan Wu, Yihua Hu. A Simple Third-Order Memristive Band Pass Filter Chaotic Circuit
982 -- 986Jingyuan Zhan, Xiang Li. Consensus in Networked Multiagent Systems With Stochastic Sampling
987 -- 991Choon Ki Ahn, Yuriy S. Shmaliy, Shunyi Zhao, Hongyi Li. Continuous-Time Deadbeat $H_{2}$ FIR Filter
992 -- 996Leiou Wang, Donghui Wang, Feiyang Zheng, Chengpeng Hao. An Iteration-Based Variable Step-Size Algorithm for Joint Explicit Adaptation of Time Delay
1953 -- 1965Shanthi Pavan. Analysis of Chopped Integrators, and Its Application to Continuous-Time Delta-Sigma Modulator Design
1966 -- 1976Chi-Hang Chan, Yan Zhu, Sai-Weng Sin, Seng-Pan U, Rui P. Martins, Franco Maloberti. A 7.8-mW 5-b 5-GS/s Dual-Edges-Triggered Time-Based Flash ADC
1977 -- 1988Aritra Banerjee, Rahmi Hezar, Lei Ding, Baher Haroun. A 29.5 dBm Class-E Outphasing RF Power Amplifier With Efficiency and Output Power Enhancement Circuits in 45nm CMOS
1989 -- 2002Amirreza Alizadeh, Majid Yaghoobi, Ali Medi. 2 Power Amplifiers
2003 -- 2012Iskender Haydaroglu, Mehmet T. Ozgun, Senol Mutlu. Optically Powered Optical Transmitter Using a Single Light-Emitting Diode
2013 -- 2025Ehsan Jokar, Hamid Soleimani, Emmanuel M. Drakakis. Systematic Computation of Nonlinear Bilateral Dynamical Systems With a Novel Low-Power Log-Domain Circuit
2026 -- 2035Ryo Matsuzuka, Tetsuya Hirose, Yuzuru Shizuku, Kyohei Shinonaga, Nobutaka Kuroki, Masahiro Numa. An 80-mV-to-1.8-V Conversion-Range Low-Energy Level Shifter for Extremely Low-Voltage VLSIs
2036 -- 2048Kyungho Shin, Woong Choi, Jongsun Park. Half-Select Free and Bit-Line Sharing 9T SRAM for Reliable Supply Voltage Scaling
2049 -- 2062Jie Zhang, Dan Li, Shiquan Fan, Zhuoqi Guo, Weibo Hu, Li Geng. nDP to Achieve Energy-Efficient SRAM
2063 -- 2072Tae Hoon Choi, Hanwool Jeong, Younghwi Yang, Juhyun Park, Seong-Ook Jung. SRAM Operational Mismatch Corner Model for Efficient Circuit Design and Yield Analysis
2073 -- 2085Massimo Alioto, Giuseppe Scotti, Alessandro Trifiletti. A Novel Framework to Estimate the Path Delay Variability On the Back of an Envelope via the Fan-Out-of-4 Metric
2086 -- 2097Jingwei Hu, Ray C. C. Cheung. Toward Practical Code-Based Signature: Implementing Fast and Compact QC-LDGM Signature Scheme on Embedded Hardware
2098 -- 2111Zongsheng Zheng, Zhigang Liu, Haiquan Zhao, Yi Yu, Lu Lu. Robust Set-Membership Normalized Subband Adaptive Filtering Algorithms and Their Application to Acoustic Echo Cancellation
2112 -- 2123Raphael Vansebrouck, Chadi Jabbour, Olivier Jamin, Patricia Desgreys. Fully-Digital Blind Compensation of Non-Linear Distortions in Wideband Receivers
2124 -- 2133Lucia Valentina Gambuzza, Mattia Frasca, Luigi Fortuna, Vasileios G. Ntinas, Ioannis Vourkas, Georgios Ch. Sirakoulis. Memristor Crossbar for Adaptive Synchronization
2134 -- 2145You Li, Zhiqiang Liu, Degang Chen. Efficient Verification Against Undesired Operating Points for MOS Analog Circuits
2146 -- 2159B. Srinivasu, K. Sridharan. A Synthesis Methodology for Ternary Logic Circuits in Emerging Device Technologies
2160 -- 2170Eyal Sarfati, Binyamin Frankel, Yitzhak Birk, Shmuel Wimer. Optimal VLSI Delay Tuning by Space Tapering With Clock-Tree Application
2171 -- 2181Ting Zhang, Junmin Li. Iterative Learning Control for Multi-Agent Systems With Finite-Leveled Sigma-Delta Quantization and Random Packet Losses
2182 -- 2194Hua Yang, Wallace K. S. Tang, Guanrong Chen, Guo-Ping Jiang. Multi-Carrier Chaos Shift Keying: System Design and Performance Analysis
2195 -- 2206Vo-Trung-Dung Huynh, Nele Noels, Heidi Steendam. Effect of Offset Mismatch in Time-Interleaved ADC Circuits on OFDM-BER Performance
2207 -- 2218Guipeng Chen, Yan Deng, Kun Wang, Yihua Hu, Lin Jiang, Huiqing Wen, Xiangning He. Topology Derivation and Analysis of Integrated Multiple Output Isolated DC-DC Converters With Stacked Configuration for Low-Cost Applications

Volume 64, Issue 7

737 -- 741Xiaojian Yu, Kambiz Moez, I-Chyn Wey, Mohamad Sawan, Jie Chen. A Fully Integrated Multistage Cross-Coupled Voltage Multiplier With No Reversion Power Loss in a Standard CMOS Process
742 -- 746Qing-Yi Guo, Xiu Yin Zhang, Jin-Xu Xu, Yuan-chun Li, Quan Xue. Bandpass Class-F Power Amplifier Based on Multifunction Hybrid Cavity-Microstrip Filter
747 -- 751Xiaochen Tang, Qisong Hu, Wei Tang. Delta-Sigma Encoder for Low-Power Wireless Bio-Sensors Using Ultrawideband Impulse Radio
752 -- 756Junchao Mu, Lianxi Liu, Zhangming Zhu, Yintang Yang. A 58-ppm/°C 40-nW BGR at Supply From 0.5 V for Energy Harvesting IoT Devices
757 -- 761Chung Hwan Son, Sangjin Byun. On Frequency Detection Capability of Full-Rate Linear and Binary Phase Detectors
762 -- 766Roberto Puddu, Caterina Carboni, Lorenzo Bisoni, Gianluca Barabino, Danilo Pani, Luigi Raffo, Massimo Barbaro. A Precision Pseudo Resistor Bias Scheme for the Design of Very Large Time Constant Filters
767 -- 771Yang Zhang, Xiaoping Wang, Yi Li, Eby G. Friedman. Memristive Model for Synaptic Circuits
772 -- 776Mustafa Nazmi Kaynak, Patrick R. Khayat, Sivagnanam Parthasarathy. On Die Bit Error Rate Estimator for NAND Flash Memory
777 -- 781Osama Khan, Brad Wheeler, Filip Maksimovic, David C. Burnett, Ali M. Niknejad, Kris Pister. Modeling the Impact of Phase Noise on the Performance of Crystal-Free Radios
782 -- 786Sumeet S. Kumar, Amir Zjajo, Rene van Leuken. Immediate Neighborhood Temperature Adaptive Routing for Dynamically Throttled 3-D Networks-on-Chip
787 -- 791Michael Meixner, Tobias G. Noll. Accurate Estimation of CMOS Power Consumption Considering Glitches by Using Waveform Lookup
792 -- 796Md. Zulfiquar Ali Bhotto, Stephen Makonin, Ivan V. Bajic. Load Disaggregation Based on Aided Linear Integer Programming
797 -- 801Jung-Woo Ha, Byung-Ha Park, Jung-Hoon Chun. A 7-MHz Integrated Peak-Current-Mode Buck Regulator With a Charge-Recycling Technique
802 -- 806Sheng-Li Du, Weiguo Xia, Xi-Ming Sun, Wei Wang. ∞ Relay Tracking Control of Multiagent Systems With the Assistance of a Voronoi Diagram
807 -- 811Yong-Feng Gao, Rui Wang, Changyun Wen, Wei Wang 0036. Digital Event-Based Control for Nonlinear Systems Without the Limit of ISS
812 -- 816Chua-Chin Wang, Zong-You Hou, Kai-Wei Ruan. 2×VDD 40-nm CMOS Output Buffer With Slew Rate Self-Adjustment Using Leakage Compensation
817 -- 821Seyed Mostafa Mirhosseini, Amir Sabbagh Molahosseini, Mehdi Hosseinzadeh, Leonel Sousa, Paulo Martins. n + 1 Multiplier
822 -- 826Jihun Jung, Muhammad Adil Ansari, Dooyoung Kim, Hyunbean Yi, Sungju Park. On Diagnosing the Aging Level of Automotive Semiconductor Devices
827 -- 831Tetsufumi Tanamoto, Shinichi Yasuda, Satoshi Takaya, Shinobu Fujita. Physically Unclonable Function Using an Initial Waveform of Ring Oscillators
832 -- 836Ehsan Jokar, Hamid Soleimani. Digital Multiplierless Realization of a Calcium-Based Plasticity Model
837 -- 841Samarth Saxena, Karun Rawat, Patrick Roblin. Continuous Class-B/J Power Amplifier Using a Nonlinear Embedding Technique
842 -- 846Junwoong Hur, Insun Song, PooGyeon Park. A Variable Step-Size Normalized Subband Adaptive Filter With a Step-Size Scaler Against Impulsive Measurement Noise
847 -- 851Alexander Melzer, Florian Starzer, Herbert Jager, Mario Huemer. Real-Time Mitigation of Short-Range Leakage in Automotive FMCW Radar Transceivers
852 -- 856Masoumeh Azghani, Amirata Ghorbani, Farokh Marvasti. Blind Iterative Nonlinear Distortion Compensation Based on Thresholding
1637 -- 1650Hao Xu, Asad A. Abidi. Design Methodology for Phase-Locked Loops Using Binary (Bang-Bang) Phase Detectors
1651 -- 1660Feiran Lei, Marvin H. White. Reference Injected Phase-Locked Loops (PLL-RIs)
1661 -- 1672Kuang-Wei Cheng, Shih-En Chen. An Ultralow-Power Wake-Up Receiver Based on Direct Active RF Detection
1673 -- 1683Jie Fang, Shankar Thirunakkarasu, Xuefeng Yu, Fabian Silva-Rivas, Chaoming Zhang, Frank Singor, Jacob A. Abraham. A 5-GS/s 10-b 76-mW Time-Interleaved SAR ADC in 28 nm CMOS
1684 -- 1695Jianyu Zhong, Yan Zhu 0001, Chi-Hang Chan, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins. 2 With Full-Calibration-Integrated Pipelined-SAR ADC
1696 -- 1705Leila Rajabi, Mehdi Saberi, Yao Liu, Reza Lotfi, Wouter A. Serdijn. A Charge-Redistribution Phase-Domain ADC Using an IQ-Assisted Binary-Search Algorithm
1706 -- 1717Seungnam Choi, Yunjae Suh, Joohyun Lee, Jinkyu Kim, Byungsub Kim, Hong June Park, Jae-Yoon Sim. A Self-Biased Current-Mode Amplifier With an Application to 10-bit Pipeline ADC
1718 -- 1729Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda. Black-Box Calibration for ADCs With Hard Nonlinear Errors Using a Novel INL-Based Additive Code: A Pipeline ADC Case Study
1730 -- 1739Vishnu Unnikrishnan, Mark Vesterbacka. Mitigation of Sampling Errors in VCO-Based ADCs
1740 -- 1747Alfio Dario Grasso, Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. 0.9-V Class-AB Miller OTA in 0.35-µm CMOS With Threshold-Lowered Non-Tailed Differential Pair
1748 -- 1757Dries Peumans, Gerd Vandersteen. An Improved Describing Function With Applications for OTA-Based Circuits
1758 -- 1771Xingyu Zhou, Shao Yong Zheng, Wing Shing Chan, Shichang Chen, Derek Ho. Broadband Efficiency-Enhanced Mutually Coupled Harmonic Postmatching Doherty Power Amplifier
1772 -- 1780Sahil Shah, Jennifer Hasler. Tuning of Multiple Parameters With a BIST System
1781 -- 1790Shu-Lin Wu, Mohammad Al-Khaleel. Parameter Optimization in Waveform Relaxation for Fractional-Order RC Circuits
1791 -- 1802Shang-Lin Wu, Kuang-Yu Li, Po-Tsang Huang, Wei Hwang, Ming-Hsien Tu, Sheng-Chi Lung, Wei-Sheng Peng, Huan-Shun Huang, Kuen-Di Lee, Yung-Shin Kao, Ching-Te Chuang. A 0.5-V 28-nm 256-kb Mini-Array Based 6T SRAM With Vtrip-Tracking Write-Assist
1803 -- 1814Wael M. Elsharkasy, Amin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi. Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches
1815 -- 1825Jiafeng Xie, Pramod Kumar Meher, Mingui Sun, Yuecheng Li, Bo Zeng, Zhi-Hong Mao. m) Based on NIST Polynomials
1826 -- 1838Alberto Bernardini, Augusto Sarti. Biparametric Wave Digital Filters
1839 -- 1852Bo-You Yu, Peng-Hua Wang, Po-Ning Chen. A General Structure of Linear-Phase FIR Filters With Derivative Constraints
1853 -- 1864Umer I. Cheema, Gregory Nash, Rashid Ansari, Ashfaq A. Khokhar. Memory-Optimized Re-Gridding Architecture for Non-Uniform Fast Fourier Transform
1865 -- 1876Michiel Verplaetse, Timothy De Keulenaer, Arno Vyncke, Ramses Pierco, Renato Vaernewyck, Joris Van Kerrebrouck, Johan Bauwelinck, Guy Torfs. Adaptive Transmit-Side Equalization for Serial Electrical Interconnects at 100 Gb/s Using Duobinary
1877 -- 1890Tarik Menkad, Anestis Dounavis. Resistive Coupling-Based Waveform Relaxation Algorithm for Analysis of Interconnect Circuits
1891 -- 1902Kexin Liu, Henghui Zhu, Jinhu Lu. Cooperative Stabilization of a Class of LTI Plants With Distributed Observers
1903 -- 1913Fabrizio Loi, Enrico Mammei, Simone Erba, Matteo Bassi, Andrea Mazzanti. A 25mW Highly Linear Continuous-Time FIR Equalizer for 25Gb/s Serial Links in 28-nm CMOS
1914 -- 1926Rakesh Gangarajaiah, Ove Edfors, Liang Liu. An Adaptive QR Decomposition Processor for Carrier-Aggregated LTE-A in 28-nm FD-SOI
1927 -- 1936Andrew Hennessy, Amirhossein Alimohammad. Design and Implementation of a Digital Secure Code-Shifted Reference UWB Transmitter and Receiver
1937 -- 1948Injun Choi, Ji-Hoon Kim. High-Throughput Non-Binary LDPC Decoder Based on Aggressive Overlap Scheduling

Volume 64, Issue 12

1357 -- 1361Yuming Zhuang, Degang Chen. Accurate Spectral Testing With Non-Coherent Sampling for Multi-Tone Test
1362 -- 1366Robert Giterman, Adam Teman, Pascal Meinerzhagen. Hybrid GC-eDRAM/SRAM Bitcell for Robust Low-Power Operation
1367 -- 1371Luke Wang, Marc-Andre LaCroix, Anthony Chan Carusone. A 4-GS/s Single Channel Reconfigurable Folding Flash ADC for Wireline Applications in 16-nm FinFET
1372 -- 1376Fairus Tahar, Adel Barakat, Redzuan Saad, Kuniaki Yoshitomi, Ramesh K. Pokharel. Dual-Band Defected Ground Structures Wireless Power Transfer System With Independent External and Inter-Resonator Coupling
1377 -- 1381Haram Ju, Moon-Chul Choi, Gyu-Seob Jeong, Woo-Rham Bae, Deog Kyoon Jeong. m-Regulated Resistive-Feedback Driver
1382 -- 1386Yi Xie, Siyu Liao, Bo Yuan, Yanzhi Wang, Zhongfeng Wang. Fully-Parallel Area-Efficient Deep Neural Network Design Using Stochastic Computing
1387 -- 1391Gain Kim, Chen Cao, Kiarash Gharibdoust, Armin Tajalli, Yusuf Leblebici. A Time-Division Multiplexing Signaling Scheme for Inter-Symbol/Channel Interference Reduction in Low-Power Multi-Drop Memory Links
1392 -- 1396Jiawei Zheng, Wing-Hung Ki, Langyu Hu, Chi-Ying Tsui. Chopper Capacitively Coupled Instrumentation Amplifier Capable of Handling Large Electrode Offset for Biopotential Recordings
1397 -- 1401Gustavo Botelho de Souza, Daniel Felipe Silva Santos, Rafael Goncalves Pires, Aparecido Nilceu Marana, João Paulo Papa. Deep Texture Features for Robust Face Spoofing Detection
1402 -- 1406Jason K. Eshraghian, Kyoung-Rok Cho, Herbert H. C. Iu, Tyrone Fernando, Nicolangelo Iannella, Sung-Mo Steve Kang, Kamran Eshraghian. Maximization of Crossbar Array Memory Using Fundamental Memristor Theory
1407 -- 1411Ming-Che Yang, Kuan-Ling Liu, Shao-Yi Chien. A Real-Time FHD Learning-Based Super-Resolution System Without a Frame Buffer
1412 -- 1416Jonathon Edstrom, Yifu Gong, Dongliang Chen, Jinhui Wang, Na Gong. Data-Driven Intelligent Efficient Synaptic Storage for Deep Learning
1417 -- 1421Jun-Eun Park, Young Ha Hwang, Deog Kyoon Jeong. A 0.4-to-1 V Voltage Scalable ΔΣ ADC With Two-Step Hybrid Integrator for IoT Sensor Applications in 65-nm LP CMOS
1422 -- 1426Robin Bolt, Michele Magno, Thomas Burger, Aldo Romani, Luca Benini. Kinetic AC/DC Converter for Electromagnetic Energy Harvesting in Autonomous Wearable Devices
1427 -- 1431Fatemeh Akbar, Amir Mortazawi. A Frequency Tunable 360° Analog CMOS Phase Shifter With an Adjustable Amplitude
1432 -- 1436Kwanseo Park, Jinhyung Lee, KwangHo Lee, Min-Seong Choo, Sungchun Jang, Sang-Hyeok Chu, SungWoo Kim, Deog Kyoon Jeong. A 55.1 mW 1.62-to-8.1 Gb/s Video Interface Receiver Generating up to 680 MHz Stream Clock Over 20 dB Loss Channel
1437 -- 1441Dong Huang, Lei Zhang, Di Li, Li Zhang, Yan Wang, Zhiping Yu. -1dB
1442 -- 1446Wen-Ju Liu, Shao Yong Zheng, Yong Mei Pan, Yuan-Xin Li, Yunliang Long. A Wideband Tunable Reflection-Type Phase Shifter With Wide Relative Phase Shift
3001 -- 3002Domenico Zito, Jaswinder Lota. Guest Editorial 2017 Special Issue on CASS Regional Flagship Conferences
3003 -- 3012Lieuwe B. Leene, Timothy G. Constandinou. Time Domain Processing Techniques Using Ring Oscillator-Based Filter Structures
3013 -- 3024Dominic A. Funke, Philipp Hillger, Jürgen Oehm, Pierre Mayr, Lukas Straczek, Nils Pohl, John S. McCaskill. A 200~µm by 100~µm Smart Submersible System With an Average Current Consumption of 1.3nA and a Compatible Voltage Converter
3025 -- 3035Luca Aluigi, Domenico Pepe, Federico Alimenti, Domenico Zito. K-Band SiGe System-on-Chip Radiometric Receiver for Remote Sensing of the Atmosphere
3036 -- 3046Arthur Campos de Oliveira, David Cordova, Hamilton Klimach, Sergio Bampi. Picowatt, 0.45-0.6 V Self-Biased Subthreshold CMOS Voltage Reference
3047 -- 3055Arantxa Uranga, Guillermo Sobreviela, Martí Riverola, Francesc Torres 0001, Núria Barniol. Phase-Noise Reduction in a CMOS-MEMS Oscillator Under Nonlinear MEMS Operation
3056 -- 3067Sara S. Ghoreishizadeh, Dorian Haci, Yan Liu 0016, Nick Donaldson, Timothy G. Constandinou. Four-Wire Interface ASIC for a Multi-Implant Link
3068 -- 3080Gerardo Castellano, Daniele Montanari, Davide De Caro, Danilo Manstretta, Antonio Giuseppe Maria Strollo. An Efficient Digital Background Control for Hybrid Transformer-Based Receivers
3081 -- 3091Francisco Veirano, Lirida A. B. Naviner, Fernando Silveira. Optimum nMOS/pMOS Imbalance for Energy Efficient Digital Circuits
3092 -- 3102Jiacong He, Joseph Callenes-Sloan. A Novel Architecture of Large Hybrid Cache With Reduced Energy
3103 -- 3114Anastasios Psarras, Savvas Moisidis, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos. Networks-on-Chip With Double-Data-Rate Links
3115 -- 3125Saurabh Jain, Longyang Lin, Massimo Alioto. Design-Oriented Energy Models for Wide Voltage Scaling Down to the Minimum Energy Point
3126 -- 3137Bianca Silveira, Guilherme Paim, Brunno Abreu, Mateus Grellert, Cláudio Machado Diniz, Eduardo A. C. da Costa, Sergio Bampi. Power-Efficient Sum of Absolute Differences Hardware Architecture Using Adder Compressors for Integer Motion Estimation Design
3138 -- 3149Chao Qun Liu, Yuan Cao, Chip-Hong Chang. ACRO-PUF: A Low-power, Reliable and Aging-Resilient Current Starved Inverter-Based Ring Oscillator Physical Unclonable Function
3150 -- 3159Jochen Rust, Pascal Seidel, Benjamin Knoop, Steffen Paul. Hardware-Efficient QR-Decomposition Using Bivariate Numeric Function Approximation
3160 -- 3171Chang Hyun Oh, Sae-Eun Kim, Joon-Sung Yang. BIRA With Optimal Repair Rate Using Fault-Free Memory Region for Area Reduction
3172 -- 3182Kun-Lin Lin, Shao-Yun Fang, Yun-Xiang Hong. Design Optimization Considering Guiding Template Feasibility and Redundant Via Insertion for Directed Self-Assembly
3183 -- 3191Jieming Ma, Haochuan Jiang, Kaizhu Huang, Ziqiang Bi, Ka Lok Man. Novel Field-Support Vector Regression-Based Soft Sensor for Accurate Estimation of Solar Irradiance
3192 -- 3201Gain Kim, Thierry Barailler, Chen Cao, Kiarash Gharibdoust, Yusuf Leblebici. Design and Modeling of Serial Data Transceiver Architecture by Employing Multi-Tone Single-Sideband Signaling Scheme

Volume 64, Issue 11

2821 -- 2834Ion Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. Arrayable Voltage-Controlled Ring-Oscillator for Direct Time-of-Flight Image Sensors
2835 -- 2843Tianwei Liu, XiaoRan Wang, Rui Wang, Guoying Wu, Tao Zhang, Ping Gui. A Temperature Compensated Triple-Path PLL With $K_{\mathrm {VCO}}$ Non-Linearity Desensitization Capable of Operating at 77 K
2844 -- 2857Wei-Han Yu, Xingqiang Peng, Pui-In Mak, Rui P. Martins. A High-Voltage-Enabled Class-D Polar PA Using Interactive AM-AM Modulation, Dynamic Matching, and Power-Gating for Average PAE Enhancement
2858 -- 2870Zhangming Zhu, Jingyu Wang. A Compact High-Performance Programmable-Gain Analog Front End for HomePlug AV2 Communication in 0.18- $\mu \text{m}$ CMOS
2871 -- 2883Blazej Nowacki, Nuno Paulino, João Goes. A Third-Order MASH $\Sigma \Delta $ Modulator Using Passive Integrators
2884 -- 2893Colm Mc Caffrey, Teuvo Sillanpaa, Henrik Huovila, Joona Nikunen, Sami Hakulinen, Pekka Pursula. Energy Autonomous Wireless Valve Leakage Monitoring System With Acoustic Emission Sensor
2894 -- 2906Atef Ibrahim, Fayez Gebali. Scalable and Unified Digit-Serial Processor Array Architecture for Multiplication and Inversion Over GF( $2^{m}$ )
2907 -- 2919Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan. Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops
2920 -- 2933Niklas Lotze, Yiannos Manoli. Ultra-Sub-Threshold Operation of Always-On Digital Circuits for IoT Applications by Use of Schmitt Trigger Gates
2934 -- 2944Weize Yu, Selçuk Köse. A Lightweight Masked AES Implementation for Securing IoT Against CPA Attacks
2945 -- 2953Choon Ki Ahn, Shunyi Zhao, Yuriy S. Shmaliy. Frequency-Efficient Receding Horizon $H_\infty $ FIR Filtering in Discrete-Time State-Space
2954 -- 2965Zhuo Wang, Naveen Verma. A Low-Energy Machine-Learning Classifier Based on Clocked Comparators for Direct Inference on Analog Sensors
2966 -- 2975Ying Wan, Jinde Cao, Guanrong Chen, Wei Huang. Distributed Observer-Based Cyber-Security Control of Complex Dynamical Networks
2976 -- 2987Seong Joong Kim, Chang Soon Park, Sang-Gug Lee. A 2.4-GHz Ternary Sequence Spread Spectrum OOK Transceiver for Reliable and Ultra-Low Power Sensor Network Applications
2988 -- 2999Imad ud Din, Johan Wernehag, Stefan Andersson, Henrik Sjöland. Two Tunable Frequency Duplexer Architectures for Cellular Transceivers

Volume 64, Issue 1

1 -- 5Fabio Alex Rabuske, Taimur Gibran Rabuske, Jorge R. Fernandes. A 5-bit 300-900-MS/s 0.8-1.2-V Supply Voltage ADC With Background Self-Calibration
6 -- 10Sangjin Byun. Analysis and Verification of DLL-Based GFSK Demodulator Using Multiple-IF-Period Delay Line
11 -- 15Boris Vaisband, Eby G. Friedman. Hexagonal TSV Bundle Topology for 3-D ICs
16 -- 20F. N. U. Juanda, Wei Shu, Joseph S. Chang. A 10-GS/s 4-Bit Single-Core Digital-to-Analog Converter for Cognitive Ultrawidebands
21 -- 25Bo Yuan, Keshab K. Parhi. LLR-Based Successive-Cancellation List Decoder for Polar Codes With Multibit Decision
26 -- 30Cang Liu, Zuocheng Xing, Luechao Yuan, Chuan Tang, Yang Zhang. A Novel Architecture to Eliminate Bottlenecks in a Parallel Tiled QRD Algorithm for Future MIMO Systems
31 -- 35Georges Kaddoum, Navid Tadayon. Differential Chaos Shift Keying: A Robust Modulation Scheme for Power-Line Communications
36 -- 40Chao Chen, Jianhui Wu. A 1.2-V Self-Reconfigurable Recursive Mixer With Improved IF Linearity in 130-nm CMOS
41 -- 45Yi-Yang Tsai, Yu-Shin Tsai, Chien-Wu Tsai, Chien-Hung Tsai. Digital Noninverting-Buck-Boost Converter With Enhanced Duty-Cycle-Overlap Control
46 -- 50Rajiv Damodaran Prabha, Gabriel A. Rincón-Mora. 2 CMOS Photovoltaic Cells
51 -- 55Satyajit Hemant Chincholkar, Chok-You Chan. Design of Fixed-Frequency Pulsewidth-Modulation-Based Sliding-Mode Controllers for the Quadratic Boost Converter
56 -- 60Nunzio Greco, Nunzio Spina, Vincenzo Fiore, Egidio Ragonese, Giuseppe Palmisano. A Galvanically Isolated DC-DC Converter Based on Current-Reuse Hybrid-Coupled Oscillators
61 -- 65Marco Lanuzza, Felice Crupi, Sandro Rao, Raffaele De Rose, Sebastiano Strangio, Giuseppe Iannaccone. An Ultralow-Voltage Energy-Efficient Level Shifter
66 -- 70Gian Domenico Licciardo, Carmine Cappetta, Luigi Di Benedetto, Mario Vigliar. Weighted Partitioning for Fast Multiplierless Multiple-Constant Convolution Circuit
71 -- 75Tao Luo, Hao Liang, Wei Zhang, Bingsheng He, Douglas L. Maskell. A Hybrid Logic Block Architecture in FPGA for Holistic Efficiency
76 -- 80Tianqiong Luo, Borja Peleato. Multipage Read for nand Flash
81 -- 85Shiping Wen, Tingwen Huang, Xinghuo Yu, Michael Z. Q. Chen, Zhigang Zeng. Sliding-Mode Control of Memristive Chua's Systems via the Event-Based Method
86 -- 90Dianfeng Zhang, Xi-Ming Sun, Zhaojing Wu, Wei Wang. Dissipativity for a Class of Stochastic Nonlinear Systems With State-Dependent Switching
91 -- 95Sheng Zhang 0006, Jiashu Zhang, Hongyu Han. Robust Shrinkage Normalized Sign Algorithm in an Impulsive Noise Environment
96 -- 100Li Du, Yan Zhang, Chun-Chen Liu, Adrian Tang 0002, Frank Hsiao, Mau-Chung Frank Chang. A 2.3-mW 11-cm Range Bootstrapped and Correlated-Double-Sampling Three-Dimensional Touch Sensing Circuit for Mobile Devices