Clock Gating Synthesis of Pulsed-Latch Circuits

Seungwhun Paik, Inhak Han, Sangmin Kim, Youngsoo Shin. Clock Gating Synthesis of Pulsed-Latch Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems, 31(7):1019-1030, 2012. [doi]

Authors

Seungwhun Paik

This author has not been identified. Look up 'Seungwhun Paik' in Google

Inhak Han

This author has not been identified. Look up 'Inhak Han' in Google

Sangmin Kim

This author has not been identified. Look up 'Sangmin Kim' in Google

Youngsoo Shin

This author has not been identified. Look up 'Youngsoo Shin' in Google