Clock Gating Synthesis of Pulsed-Latch Circuits

Seungwhun Paik, Inhak Han, Sangmin Kim, Youngsoo Shin. Clock Gating Synthesis of Pulsed-Latch Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems, 31(7):1019-1030, 2012. [doi]

Abstract

Abstract is missing.