Clock Gating Synthesis of Pulsed-Latch Circuits

Seungwhun Paik, Inhak Han, Sangmin Kim, Youngsoo Shin. Clock Gating Synthesis of Pulsed-Latch Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems, 31(7):1019-1030, 2012. [doi]

@article{PaikHKS12,
  title = {Clock Gating Synthesis of Pulsed-Latch Circuits},
  author = {Seungwhun Paik and Inhak Han and Sangmin Kim and Youngsoo Shin},
  year = {2012},
  doi = {10.1109/TCAD.2012.2185235},
  url = {http://dx.doi.org/10.1109/TCAD.2012.2185235},
  researchr = {https://researchr.org/publication/PaikHKS12},
  cites = {0},
  citedby = {0},
  journal = {IEEE Trans. on CAD of Integrated Circuits and Systems},
  volume = {31},
  number = {7},
  pages = {1019-1030},
}