Balanced loop retiming to effectively architect STT-RAM-based hybrid cache for VLIW processors

Keni Qiu, Weigong Zhang, Xiaoqiang Wu, Xiaoyan Zhu, Jing Wang, Yuanchao Xu, Chun Jason Xue. Balanced loop retiming to effectively architect STT-RAM-based hybrid cache for VLIW processors. In Sascha Ossowski, editor, Proceedings of the 31st Annual ACM Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016. pages 1710-1716, ACM, 2016. [doi]

Possibly Related Publications

The following publications are possibly variants of this publication: