Abstract is missing.
- Opportunities in system power management for high performance mixed signal platformsJose Pineda de Jyvez. 1 [doi]
- COAST: Correlated material assisted STT MRAMs for optimized read operationAhmedullah Aziz, Nikhil Shukla, Suman Datta, Sumeet Kumar Gupta. 1-6 [doi]
- Message from the program chairsRuchir Puri, Vijay Raghunathan. 1 [doi]
- Message from the general chairsLuca Benini, Renu Mehra, Mauro Olivieri. 1-2 [doi]
- Statistical information processing: Computing for the nanoscale eraNaresh Shanbhag. 1 [doi]
- Let's get physical: Adding physical dimensions to cyber systemsAlberto L. Sangiovanni-Vincentelli. 1-2 [doi]
- A novel slope detection technique for robust STTRAM sensingSeyedhamidreza Motaman, Swaroop Ghosh, Jaydeep P. Kulkarni. 7-12 [doi]
- Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbarYuhao Wang, Xin Li, Hao Yu, Leibin Ni, Wei Yang, Chuliang Weng, Junfeng Zhao. 13-18 [doi]
- Fine-grained write scheduling for PCM performance improvement under write power budgetChun-Hao Lai, Shun-Chih Yu, Chia-Lin Yang, Hsiang-Pang Li. 19-24 [doi]
- A simulation framework for rapid prototyping and evaluation of thermal mitigation techniques in many-core architecturesTanguy Sassolas, Chiara Sandionigi, Alexandre Guerre, Julien Mottin, Pascal Vivet, Hela Boussetta, Nicolas Peltier. 25-30 [doi]
- Making sense of thermoelectrics for processor thermal management and energy harvestingSriram Jayakumar, Sherief Reda. 31-36 [doi]
- Adaptive sprinting: How to get the most out of Phase Change based passive coolingFulya Kaplan, Ayse Kivilcim Coskun. 37-42 [doi]
- Experimental characterization of in-package microfluidic cooling on a System-on-ChipWen Yueh, Zhimin Wan, Yogendra Joshi, Saibal Mukhopadhyay. 43-48 [doi]
- Reducing dynamic energy of set-associative L1 instruction cache by early tag lookupWei Zhang, Hang Zhang, John Lach. 49-54 [doi]
- Bank stealing for conflict mitigation in GPGPU Register FileNaifeng Jing, Shuang Chen, Shunning Jiang, Li Jiang, Chao Li, Xiaoyao Liang. 55-60 [doi]
- Leveraging emerging nonvolatile memory in high-level synthesis with loop transformationsShuangchen Li, Ang Li, Yuan Zhe, Yongpan Liu, Peng Li, Guangyu Sun, Yu Wang, Huazhong Yang, Yuan Xie. 61-66 [doi]
- Enabling energy efficient Hybrid Memory Cube systems with erasure codesShibo Wang, Yanwei Song, Mahdi Nazm Bojnordi, Engin Ipek. 67-72 [doi]
- Design of fine-grained sequential approximate circuits using probability-aware fault emulationDavid May, Walter Stechele. 73-78 [doi]
- Hybrid approximate multiplier architectures for improved power-accuracy trade-offsGeorgios Zervakis, Sotirios Xydis, Kostas Tsoumanis, Dimitrios Soudris, Kiamal Z. Pekmestzi. 79-84 [doi]
- A power-aware digital feedforward neural network platform with backpropagation driven approximate synapsesJaeha Kung, Duckhwan Kim, Saibal Mukhopadhyay. 85-90 [doi]
- A neuromorphic neural spike clustering processor for deep-brain sensing and stimulation systemsBeinuo Zhang, Zhewei Jiang, Qi Wang, Jae-sun Seo, Mingoo Seok. 91-97 [doi]
- High-efficiency crossbar switches using capacitively coupled signalingCagla Cakir, Ron Ho, Jon K. Lexau, Ken Mai. 98-103 [doi]
- Tackling voltage emergencies in NoC through timing error resilienceRajesh Jayashankara Shridevi, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy. 104-109 [doi]
- An energy efficient and low cross-talk CMOS sub-THz I/O with surface-wave modulator and interconnectYuan Liang, Hao Yu, Junfeng Zhao, Wei Yang, Yuangang Wang. 110-115 [doi]
- A compact low-power eDRAM-based NoC bufferCheng Li, Paul Ampadu. 116-121 [doi]
- Collaborative gate implementation selection and adaptivity assignment for robust combinational circuitsHao He, Jiafan Wang, Jiang Hu. 122-127 [doi]
- Analysis of adaptive clocking technique for resonant supply voltage noise mitigationPaul N. Whatmough, Shidhartha Das, David M. Bull. 128-133 [doi]
- Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulatorsArvind Singh, Monodeep Kar, Jong Hwan Ko, Saibal Mukhopadhyay. 134-139 [doi]
- Fully-integrated switched-capacitor voltage regulator with on-chip current-sensing and workload optimization in 32nm SOI CMOSXiaoyang Mi, Debashis Mandal, Visvesh Sathe, Bertan Bakkaloglu, Jae-sun Seo. 140-145 [doi]
- Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOSShidhartha Das, Paul N. Whatmough, David M. Bull. 146-151 [doi]
- Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-ICRunjie Zhang, Kaushik Mazumdar, Brett H. Meyer, Ke Wang, Kevin Skadron, Mircea R. Stan. 152-158 [doi]
- Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displaysWoojoo Lee, Yanzhi Wang, Donghwa Shin, Shahin Nazarian, Massoud Pedram. 159-164 [doi]
- Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphonesAnup Das 0001, Matthew J. Walker, Andreas Hansson, Bashir M. Al-Hashimi, Geoff V. Merrett. 165-170 [doi]
- CGSharing: Efficient content sharing in GPU-based cloud gamingXiangyu Wu, Yuanfang Xia, Naifeng Jing, Xiaoyao Liang. 171-176 [doi]
- Energy efficient scheduling for web search on heterogeneous microserversSankalp Jain, Harshad Navale, Ümit Ogras, Siddharth Garg. 177-182 [doi]
- Low-power detection of sternocleidomastoid muscle contraction for asthma assessment and controlJun Luan, Seungjae Lee, Pai H. Chou. 183-188 [doi]
- PowerTrain: A learning-based calibration of McPAT power modelsWooseok Lee, Youngchun Kim, Jee Ho Ryoo, Dam Sunwoo, Andreas Gerstlauer, Lizy K. John. 189-194 [doi]
- FreqLeak: A frequency step based method for efficient leakage power characterization in a systemArun Joseph, Anand Haridass, Charles Lefurgy, Sreekanth Pai, Spandana Rachamalla, Francesco Campisano. 195-200 [doi]
- Power benefit study of monolithic 3D IC at the 7nm technology nodeKyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim. 201-206 [doi]
- An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFETHayate Okuhara, Kuniaki Kitamori, Yu Fujita, Kimiyoshi Usami, Hideharu Amano. 207-212 [doi]
- Hierarchical power budgeting for Dark Silicon chipsMuhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel. 213-218 [doi]
- Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approachAmir-Mohammad Rahmani, Mohammad Hashem Haghbayan, Anil Kanduri, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen. 219-224 [doi]
- DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variationsMohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique, Alireza Ejlali, Jörg Henkel. 225-230 [doi]
- Power-efficient embedded processing with resilience and real-time constraintsLiang Wang, Augusto Vega, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron. 231-236 [doi]
- DVAS: Dynamic Voltage Accuracy Scaling for increased energy-efficiency in approximate computingBert Moons, Marian Verhelst. 237-242 [doi]
- Power management for mobile games on asymmetric multi-coresAnuj Pathania, Santiago Pagani, Muhammad Shafique, Jörg Henkel. 243-248 [doi]
- An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocatorsMohammad Sadrosadati, Amirhossein Mirhosseini, Homa Aghilinasab, Hamid Sarbazi-Azad. 249-254 [doi]
- Having your cake and eating it too: Energy savings without performance loss through resource sharing driven power managementJae Yeon Won, Paul Gratz, Srinivas Shakkottai, Jiang Hu. 255-260 [doi]
- Energy stealing - an exploration into unperceived activities on mobile systemsChi-Hsuan Lin, Yu-Ming Chang, Pi-Cheng Hsiu, Yuan-Hao Chang. 261-266 [doi]
- A win-win camera: Quality-enhanced power-saving images on mobile OLED displaysChih-Kai Kang, Chun-Han Lin, Pi-Cheng Hsiu. 267-272 [doi]
- Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extensionDonghwa Shin, Naehyuck Chang, Yanzhi Wang, Massoud Pedram. 273-278 [doi]
- A micropower energy harvesting circuit with piezoelectric transformer-based ultra-low voltage start-upAldo Romani, Antonio Camarda, Alessio Baldazzi, Marco Tartagni. 279-284 [doi]
- Reducing display power consumption for real-time video calls on mobile devicesMengbai Xiao, Yao Liu, Lei Guo 0004, Songqing Chen. 285-290 [doi]
- A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCsArman Iranfar, Soheil Nazar Shahsavani, Mehdi Kamal, Ali Afzali-Kusha. 291-296 [doi]
- ReDEEM: A heterogeneous distributed microarchitecture for energy-efficient reliabilityBiruk Mammo, Ritesh Parikh, Valeria Bertacco. 297-302 [doi]
- Post placement leakage reduction with stress-enhanced filler cellsJun-Ho Choy, Valeriy Sukharev, Armen Kteyan, Henrik Hovsepyan, Ramnath Venkatraman, Ruggero Castagnetti. 303-308 [doi]
- Design and analysis of 6-T 2-MTJ ternary Content Addressable MemoryRekha Govindaraj, Swaroop Ghosh. 309-314 [doi]
- Modeling and power optimization of cyber-physical systems with energy-workload tradeoffHoeseok Yang, Soonhoi Ha. 315-320 [doi]
- Fixing sensor-related energy bugs through automated sensing policy instrumentationYuanchun Li, Yao Guo, Junjun Kong, Xiangqun Chen. 321-326 [doi]
- Analysis and optimization of CMOS switched-capacitor convertersVisvesh S. Sathe, Jae-sun Seo. 327-334 [doi]
- The digital bidirectional function as a hardware security primitive: Architecture and applicationsTeng Xu, Miodrag Potkonjak. 335-340 [doi]
- ThermTap: An online power analyzer and thermal simulator for Android devicesMohammad Javad Dousti, Majid Ghasemi-Gol, Mahdi Nazemi, Massoud Pedram. 341-346 [doi]
- Lucid infrared thermography of thermally-constrained processorsHussam Amrouch, Jörg Henkel. 347-352 [doi]
- Battery-aware energy-optimal Electric Vehicle driving managementKorosh Vatanparvar, Jiang Wan, Mohammad Abdullah Al Faruque. 353-358 [doi]
- Interconnect synthesis of heterogeneous accelerators in a shared memory architectureYu-Ting Chen, Jason Cong. 359-364 [doi]
- Reference-circuit analysis for high-bandwidth spin transfer torque random access memoryByungkyu Song, Taehui Na, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang. 365-370 [doi]
- Power management in the Intel Xeon E5 v3Ankush Varma, William J. Bowhill, Jason Crop, Corey Gough, Brian Griffith, Dan Kingsley, Krishna Sistla. 371-376 [doi]