Abstract is missing.
- Tutorial 1: Lab-on-a-chip based on CMOS technology: Parts, applications, challenges and future trendsYehya H. Ghallab, Yehea Ismail. [doi]
- A fast analytical approach for static power-down mode analysisMichael Zwerger, Pantelis-Rafail Vlachas, Helmut Graeb. 1-4 [doi]
- Design of a high-voltage driver based on low-voltage CMOS with an adapted level shifter optimized for a wide range of supply voltageSara Pashmineh, Dirk Killat. 5-8 [doi]
- Low pass filter design based on fractional power chebyshev polynomialAmr M. AbdelAty, Ahmed Soltan, Waleed A. Ahmed, Ahmed G. Radwan. 9-12 [doi]
- Towards real-time neuronal connectivity assessment: A scalable pipelined parallel generalized partial directed coherence engineGeorgios Georgis, Georgios Menoutis, Dionysios I. Reisis, Konstantinos S. Tsakalis, Ashfaque Bin Shafique. 13-16 [doi]
- A new biometric authentication system using heart sounds based on wavelet packet featuresMohammed Abo-Zahhad, Sabah M. Ahmed, Sherif N. Abbas. 17-20 [doi]
- Multi-lead ECG using two ZigBee nodesIsmail Abdelwahab, Hanady H. Issa, Mostafa Farghaly, Hani F. Ragai. 21-24 [doi]
- A novel HV-switch scheme with gate-source overvoltage protection for bidirectional neural interfacesDmitry Osipov, Steffen Paul. 25-28 [doi]
- Rule based classification of sputum images for early lung cancer detectionFatma Taher, Naoufel Werghi, Hussain Al-Ahmad. 29-32 [doi]
- Skin-based adaptive background subtraction for hand gesture segmentationRania A. Elsayed, Mohammed S. Sayed, Mahmoud I. Abdalla. 33-36 [doi]
- Phase based detection of JPEG counter forensicsGamal Fahmy, Abdullah Alqallaf, Rolf P. Würtz. 37-40 [doi]
- DWT-based watermarking technique for video authenticationFarhan Alenizi, Fadi J. Kurdahi, Ahmed M. Eltawil, Abdullah Aljumah. 41-44 [doi]
- Subthreshold passive RFID tag's baseband processor core design with custom modules and cellsWeiwei Shi, Linqing Fu, Chiu-sing Choy. 45-48 [doi]
- XOR gates for low-energy and near-Vth operationAzam Beg, Ajmal Beg, Amr Elchouemi. 49-52 [doi]
- Novel high-speed dynamic differential ultra low voltage logic for supply-voltage below 300 mVOmid Mirmotahari, Ali Dadashi, Mehdi Azadmehr, Yngvar Berg. 53-56 [doi]
- Low-voltage and high-speed CMOS circuit design with low-power modeYngvar Berg, Omid Mirmotahari. 57-60 [doi]
- An ultra-low-voltage, semi-floating-gate, domino, dual-rail, NOR gateAli Dadashi, Omid Mirmotahari, Yngvar Berg. 61-64 [doi]
- 195-nW 120-dB subthreshold CMOS OTA driving up to 200 pF and occupying only 4.4-10-3 mm2Alfio Dario Grasso, Davide Marano, Gaetano Palumbo, Salvatore Pennisi. 65-68 [doi]
- A high gain and low-offset current-mode instrumentation amplifier using differential difference current conveyorsUgur Cini, Emre Arslan. 69-72 [doi]
- A rail-to-rail-input chopper instrumentation amplifier in 28nm CMOSAlessandra Pipino, Alessandro Pezzotta, Federica Resta, Marcello De Matteis, Andrea Baschirotto. 73-76 [doi]
- Ultra-low power CMOS voltage reference for high temperature applications up to 300°CAhmad Hassan, Benoit Gosselin, Mohamad Sawan. 77-80 [doi]
- Output stage of a dynamic current steering deep brain stimulatorAmeer Mohammed, Virgilio Valente, Richard H. Bayford, Andreas Demosthenous. 81-84 [doi]
- A SAW-less receiver front-end with low power active self-interference cancelerSaheed Tijani, Danilo Manstretta. 89-92 [doi]
- A novel low-power high-efficiency 3-state filterless bang-bang class D amplifierHuiqiao He, Yang Kang, Jia Yu, Linfei Guo, Tong Ge, Joseph S. Chang. 93-96 [doi]
- A power controlled RF CMOS class-E PA with 43% maximum efficiency in 2.2 GHzDiogo B. Santana, Hamilton Klimach, Eric E. Fabris, Sergio Bampi. 97-100 [doi]
- Compact highly selective passive notch filter for 3.1-5 GHz UWB receiver systemRaafat Lababidi, Marc Le Roy, D. Le Jeune, André Pérennec, R. Vauche, Sylvain Bourdel, Jean Gaubert. 101-104 [doi]
- A novel self-referenced ferroelectric-memory readout schemeSherif M. Sharroush. 105-108 [doi]
- An alternative to CMOS stacks based on a floating-gate transistorSherif M. Sharroush. 109-112 [doi]
- Low power differential three transistors two memristors based RRAM cellAhmad Daoud, Ahmed Dessouki, Sherif M. Abuelenin. 113-116 [doi]
- Comparative analysis of the robustness of master-slave flip-flops against variationsMassimo Alioto, Elio Consoli, Gaetano Palumbo. 117-120 [doi]
- Process variability in FinFET standard cells with different transistor sizing techniquesAlexandra L. Zimpeck, Cristina Meinhardt, Gracieli Posser, Ricardo Reis. 121-124 [doi]
- A tool for transient fault analysis in combinational circuitsMariem Slimani, Lirida A. B. Naviner. 125-128 [doi]
- Impact on performance, power, area and wirelength using electromigration-aware cellsGracieli Posser, Vivek Mishra, Palkesh Jain, Ricardo Reis, Sachin S. Sapatnekar. 129-132 [doi]
- Bulk and FDSOI Sub-micron CMOS transistors resilience to single-event transientsWalter E. Calienes Bartra, Andrei Vladimirescu, Ricardo Reis. 133-136 [doi]
- Impact of dynamic voltage scaling and thermal factors on FinFET-based SRAM reliabilityFelipe Rosa, Raphael Martins Brum, Gilson I. Wirth, Luciano Ost, Ricardo Reis. 137-140 [doi]
- Automatic circuit generation for sequential logic debugHelder H. Avelar, Paulo F. Butzen, Renato P. Ribas. 141-144 [doi]
- Combination of inductive feedback and shunt peaking (IF+SHP) for bandwidth extension of transimpedance amplifiersOmidreza Ghasemi. 145-148 [doi]
- A low loss, low voltage and high Q active inductor with multi-regulated cascade stage for RF applicationsHadi Ghasemzadeh Momen, Metin Yazgi, Ramazan Köprü. 149-152 [doi]
- A four bit low power 165MS/s flash-SAR ADC for sigma-delta ADC applicationHasan Molaei, Ata Khorami, Mohammad S. Eslampanah Sendi, Khosrow Hajsadeghi. 153-156 [doi]
- A CMOS based operational floating current conveyorNermine M. Edward, Yehya H. Ghallab, Hassan M. Hassan, Yehea I. Ismail. 157-160 [doi]
- A 150MHz 3rd-order single Opamp continuous-time analog filter in 28nm CMOS technologyAndrea Donno, Stefano D'Amico, Marcello De Matteis, Andrea Baschirotto. 161-164 [doi]
- Prefilter bandwidth effects in asynchronous sequential symbol synchronizers based on pulse comparison by hybrid transitions at half bit rateAntonio D. Reis, José P. Carvalho, José F. Rocha, Atílio Gameiro. 165-168 [doi]
- Design and performance comparison of a superregenerative MPSK transceiverJordi Bonet-Dalmau, Alexis Lopez-Riera, Pere Palà-Schönwälder, F. Xavier Moncunill-Geniz, Albert Babi-Oller. 169-172 [doi]
- Multi-taper and MIMO techniques for spectrum sensing in cognitive radioAhmed O. Abdul Salam, Ray E. Sheriff, Saleh R. Al-Araji, Kahtan A. Mezher, Qassim Nasir. 173-178 [doi]
- LZ4 compression algorithm on FPGAMatej Bartik, Sven Ubik, Pavel Kubalík. 179-182 [doi]
- Performance evaluation of dynamic partial reconfiguration techniques for software defined radio implementation on FPGAAmr Hassan, Ramy Ahmed, Hassan Mostafa, Hossam A. H. Fahmy, Ahmed Hussien. 183-186 [doi]
- Design and analysis of memristor-based min-max circuitS. H. Amer, A. H. Madian, A. S. Emara. 187-190 [doi]
- A comparative study of nadir attitude pointing satellite solutionsA. M. Si Mohammed, A. Bellar, Y. Bentoutou, A. Boudjemai, R. Roubache. 191-196 [doi]
- Evaluation of a couple of true random number generators with liberally licensed hardware, firmware, and driversSergio Callegari. 197-200 [doi]
- Review of the missing mechanical element: MemdamperMohamed E. Fouda, Ahmed G. Radwan, Ahmed S. Elwakil, N. K. Nawayseh. 201-204 [doi]
- Decentralized clustering in VANET using adaptive resonance theoryZaher Merhi, Oussama Tahan, Samih Abdul-Nabi, Amin Haj-Ali, Magdy Bayoumi. 205-208 [doi]
- Fuzzy C-means algorithm incorporating local data and membership information for noisy medical image segmentationR. R. Gharieb, G. Gendy, H. Selim. 209-212 [doi]
- Automated electrode array for in-channel electrochemical detectionAdnane Kara, Amine Miled, Jesse Greener. 213-216 [doi]
- Efficient wearable real-time vital signs monitoring systemMohamed A. Abd El ghany, Michael S. Saleab, Ramez M. Toma, Klaus Hofmann. 217-220 [doi]
- Wireless interfacing to cortical neural recording implants using 4-FSK modulation schemeMohammad S. Eslampanah Sendi, Mohsen Judy, Hasan Molaei, Amir M. Sodagar, Mohammad Sharifkhani. 221-224 [doi]
- Low power 2.5-Gb/s CMOS burst-mode transimpedance amplifier with fast response time using a novel peak detection circuitYoung-Ho Kim, Eunok Kim, Wonjong Kim. 225-228 [doi]
- Timing recovery in DVB-T2 using multi-rate farrow structureSherif M. Saad, Hisham M. Hamed, Ahmed F. Shalash. 229-232 [doi]
- Novel compact tunable bandpass filter using capacitively loaded H-shaped resonatorHany A. Atallah, Adel B. Abd El-Rahman, Kuniaki Yoshitomi, Ramesh K. Pokharel. 233-236 [doi]
- Study of SiO2 thickness effect on insertion loss of CMOS 60 GHz band pass filterNessim Mahmoud, Adel Barakat, Anwer S. Abd El-Hameed, Adel B. Abd El-Rahman, Ahmed Allam, Ramesh K. Pokharel. 237-239 [doi]
- An 8-PSK digital phase detection technique for super-regenerative receiversGhada H. Ibrahim, Amr N. Hafez. 240-243 [doi]
- Low power transimpedance amplifier using current reuse with dual feedbackDiaa Abd-elrahman, Mohamed Atef, Mohamed Abbas, Mohamed Abdelgawad. 244-247 [doi]
- Asynchronous DC-free serial protocol for event-based AER systemsPaolo Motto Ros, Marco Crepaldi, Chiara Bartolozzi, Danilo Demarchi. 248-251 [doi]
- Modulated waveform measurement and engineering systemMuhammad Akmal Chaudhary, Jonathan Lees, Johannes Benedikt, Paul J. Tasker. 252-255 [doi]
- Experimental evaluation of opportunistic access in shared contention-based channelsNada Elgaml, Heba Raafat, Aya Halim, Aya Abdeldayem, Nourhan Mahmoud, Ahmed Khattab, Ahmed H. Zahran. 260-263 [doi]
- Impulsive noise mitigation for μ-law companded OFDM-based communication systemRola Almahainy, Nazar Ali, Saleh R. Al-Araji, Mohammed Ismail. 264-267 [doi]
- Design of a 10Gsps TI-flash ADC with modified clocking schemeKhaled A. El-Gammal, Sameh A. Ibrahim. 268-271 [doi]
- A VCO-based spatial averaging stochastic ADCHyuk Sun, Jason Muhlestein, Un-Ku Moon. 272-275 [doi]
- Redundancy effect on the performance of digitally-assisted SAR ADCsJuan Carlos Pena Ramos, Marian Verhelst. 276-279 [doi]
- A low voltage low power and high speed binary search analog to digital converterAhmed Badawy, Emad Hegazi. 280-283 [doi]
- Design and linearity analysis of a M-2M DAC for very low supply voltageIsrael Sperotto, Hamilton Klimach, Sergio Bampi. 284-287 [doi]
- A new simple emulator circuit for current controlled memristorAbdullah G. Alharbi, Zainulabideen J. Khalifa, Mohamed E. Fouda, Masud H. Chowdhury. 288-291 [doi]
- Series and parallel circuit models containing memristors and inverse memristorsMohamed E. Fouda, Ahmed G. Radwan, Ahmed S. Elwakil. 292-295 [doi]
- Offset reduction on memristor emulator circuitsCarlos Sánchez-López, Miguel Ángel Carrasco-Aguilar, F. E. Morales-Lopez. 296-299 [doi]
- Classical electrical circuitry to support modern control methodsMichail G. Papoutsidakis, Dimitrios Tseles, Dimitrios D. Piromalis. 300-303 [doi]
- Hardware-assisted interrupt delivery optimization for virtualized embedded platformsCarlos Moratelli, Sergio Johann Filho, Fabiano Hessel. 304-307 [doi]
- A hierarchical LST-based task scheduler for NoC-based MPSoCs with slack-time monitoring supportMarcelo Ruaro, Guilherme A. Madalozzo, Fernando Gehm Moraes. 308-311 [doi]
- Differentiation of MPSoCs message classes using multiple NoCsDouglas R. G. Silva, Fernando Gehm Moraes. 312-315 [doi]
- A non-intrusive and reconfigurable access control to secure NoCsRamon Fernandes, Bruno S. Oliveira, Johanna Sepúlveda, César A. M. Marcon, Fernando Gehm Moraes. 316-319 [doi]
- A platform-based design framework to boost many-core software developmentGuilherme A. Madalozzo, Marcelo Mandelli, Luciano Ost, Fernando Gehm Moraes. 320-323 [doi]
- Online bicast allocation algorithm for contention-free-routing NoCsAli Ahmed, Salma Hesham, Mohamed A. Abd El ghany, Diana Göhringer, Klaus Hofmann. 324-327 [doi]
- A wideband 5 GHz digital PLL using a low-power two-step time-to-digital converterA. Hamza, S. Ibrahim, M. El-Nozahi, M. Dessouky. 328-331 [doi]
- Adaptive digital pre-distortion for future wireless transmittersMickaël Dardaillon, Chadi Jabbour, Vason P. Srini. 332-335 [doi]
- A high-sensitivity battery-less wake-up receiver for 915 MHz ISM band applicationsMohamed Zgaren, Mohamad Sawan. 336-339 [doi]
- Fast signed-digit arithmetic circuits for residue number systemsShugang Wei. 344-347 [doi]
- Power efficient 2-D rounded cosine transform with adder compressors for image compressionGuilherme Paim, Mateus Fonseca, Eduardo A. C. da Costa, Sérgio J. M. de Almeida. 348-351 [doi]
- Optimal combination of dedicated multiplication blocks and adder trees schemes for optimized radix-2m array multipliers realizationAnderson Martins, Mateus Fonseca, Eduardo A. C. da Costa. 352-355 [doi]
- Analysis of ADMM-LP algorithm for LDPC decoding, a first step to hardware implementationImen Debbabi, Bertrand Le Gal, Nadia Khouja, Fethi Tlili, Christophe Jégo. 356-359 [doi]
- A mathematical model of an ideally threshold compensated rectifier for RF energy harvestingDoaa M. Elgabry, Mohamed Aboudina, Emad Hegazi. 360-363 [doi]
- 3D EM simulations and analysis of in-package metal plate interconnecting high-side and low-side FETs of DC-DC converterJosip Bacmaga, Raul Blecic, Renaud Gillon, Adrijan Baric. 364-367 [doi]
- A simple hybrid 3-level buck-boost DC-DC converter with efficient PWM regulation schemeAbdullah Abdulslam, Baker Mohammad, Mohammad Ismail, Yehea Ismail. 368-371 [doi]
- A novel memristor emulator based only on an exponential amplifier and CCII+Abdullah G. Alharbi, Mohamed E. Fouda, Masud H. Chowdhury. 376-379 [doi]
- IC-PIX28: A 28nm read-out channel for pixel detectorFederica Resta, Marcello De Matteis, G. Rota, Alessandro Pezzotta, Alessandra Pipino, Andrea Baschirotto. 384-387 [doi]
- From StarX32 to VEGA: Low-power and low-noise mixed-signal ASICs for X-ray detectors in space and medical applicationsMahdi Ahangarianabhari, Giuseppe Bertuccio, Stefano Caccia, Marco Grassi, Daniele Macera, Piero Malcovati. 388-391 [doi]
- A XOR-based associative memory block in 28 nm CMOS for interdisciplinary applicationsAlberto Annovi, Andrea Baschirotto, Matteo M. Beretta, Nicolo Vladi Biesuz, Saverio Citraro, Francesco Crescioli, Marcello De Matteis, Federico Fary, Luca Frontini, Paola Giannetti, Valentino Liberali, Pierluigi Luciano, Fabrizio Palla, Alessandro Pezzotta, Seyedruhollah Shojaii, Calliope-Louisa Sotiropoulou, Alberto Stabile. 392-395 [doi]
- Double-redundant design methodology to improve radiation hardness in pixel detector readout ICsLuca Frontini, Valentino Liberali, Seyedruhollah Shojaii, Alberto Stabile. 396-399 [doi]
- Efficiency analysis of importance sampling in deep submicron STT-RAM design using uncontrollable industry-compatible model parameterTaehui Na, Hanwool Jeong, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang. 400-403 [doi]
- An intelligent technique for generating equivalent KHN circuits using genetic algorithmNariman A. Khalil, Rania F. Ahmed, Rania A. Abul Seoud, Ahmed M. Soliman. 404-407 [doi]
- Two-stage optimization of CORDIC-friendly FFTAhmed M. El-Shafiey, Mohamed E. Farag, Mohammed A. El-Motaz, Omar A. Nasr, Hossam A. H. Fahmy. 408-411 [doi]
- Switch selection & sizing in CMOS implementation of variable output switched capacitor step-down DC-DC converterMahesh Zanwar, Subhajit Sen. 412-415 [doi]
- An 8-PSK receiver using an integrated low-noise amplifier & super-regenerative oscillator with digital detection techniqueMahmoud Fawzy Wagdy, Sanjay Subba Rao, Krishna Kant Singh, Ghada H. Ibrahim. 416-420 [doi]
- Bit-error-rate analysis and mixed signal triple modular redundancy methods for data convertersJason Muhlestein, Hariprasath Venkatram, Jon Guerber, Allen Waters, Un-Ku Moon. 421-424 [doi]
- 6-Gb/s serial link transceiver for NoCsSafaa A. Mohammed, Sameh A. Ibrahim, S. E.-D. Habib. 425-428 [doi]
- High coverage test for the second generation current conveyorA. S. Emara, A. H. Madian, H. H. Amer, S. H. Amer. 429-432 [doi]
- Binary floating point verification using random test vector generation based on SV constraintsKhaled Nouh, Hossam A. H. Fahmy. 433-436 [doi]
- Signature multi-mode hardware-based self-test architecture for digital integrated circuitsMohamed H. El-Mahlawy. 437-441 [doi]
- Fault tolerant register file design for MIPS AES-crypto microprocessorBuse Ustaoglu, Berna Ors Yalcin. 442-445 [doi]
- Implementation of multiple PID controllers on FPGAMokhtar Aboelaze, Mohamed Ghazy Shehata. 446-449 [doi]
- Energy-efficient Gaussian filter for image processing using approximate adder circuitsR. Oliveira Julio, Leonardo Bandeira Soares, Eduardo A. C. da Costa, Sergio Bampi. 450-453 [doi]
- Optimal design of 6T SRAM bitcells for ultra low-voltage operationAmgad A. Ghonem, Mostafa F. Farid, Mohamed Dessouky. 454-457 [doi]
- An 8kb SRAM macro in 65nm for ultra-low voltage applications operating from 1.2V to 0.5VMostafa F. Farid, Amgad A. Ghonem, Mohamed Dessouky. 458-461 [doi]
- Impact of technology scaling on the minimum energy point for FinFET based flip-flopsOsama Abdelkader, Hassan Mostafa, Hamdy Abd Elhamid, Ahmed M. Soliman. 462-465 [doi]
- A highly scalable vector oriented ASIP-based multi-standard digital receiverMohammed A. El-Motaz, M. Wagih Ismail, Mohsen Raafat, Ali S. Faried, Mohammed A. Raghieb, Nassr M. Ismail, Sherif A. Hafez, Ahmed H. El-Kady, Esmaail A. El-Sayed, Mohamed A. Sharaf, Ibrahim Shazly, Wael E. Abd El-Kawi, Chadi M. Mohamed, Mohamed N. Elhidery, Karim Mohammed, Omar A. Nasr. 466-469 [doi]
- Coupling capacitance extraction in through-silicon via (TSV) arraysTarek Ramadan, Eslam Yahya, Mohamed Dessouky, Yehea I. Ismail. 470-473 [doi]
- Procedural analog design automation using building block optimizationMaged El-Sisi, Mohamed Dessouky. 474-477 [doi]
- Identifying DC bias conditions for maximum DC current in digitally-assisted analog designChong Li, Suriyaprakash Natarajan, C.-J. Richard Shi. 478-481 [doi]
- Automated analog circuit design and chip layout toolFathi A. Farag, Mohamed F. Ibrahim, Mohammed A. Shehata. 482-485 [doi]
- Incremental layout-aware analog design methodologyMohannad Elshawy, Mohamed Dessouky. 486-489 [doi]
- Enhancement of mobile development of brain-computer platformsAmr S. Elsawy, Seif Eldawlatly, Mohamed Taher, Gamal M. Aly. 490-491 [doi]
- A new 16-bit low-power PVT-calibrated time-based differential Analog-to-Digital Converter (ADC) circuit in CMOS 65nm technologyAbdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman. 492-493 [doi]
- A CMOS based operational floating current conveyor and its applicationsNermine M. Edward, Yehya H. Ghallab, Hassan Mostafa, Yehea I. Ismail. 494-495 [doi]
- Low-power implantable seizure detection processorSherif Omar, Hassan Mostafa, Tawfik Ismail, Salam Gabran. 496-497 [doi]
- On the use of dynamic partial reconfiguration for multi-band/multi-standard software defined radioAhmed Sadek, Hassan Mostafa, Amin Nassar. 498-499 [doi]
- A low-power high-speed charge-steering ADC-based equalizer for serial linksMostafa M. Ayesh, Sameh A. Ibrahim, Hani F. Ragai, Mohamed M. Rizk. 500-501 [doi]
- Solar panel receiver system implementation for visible light communicationBilal Malik, Xun Zhang. 502-503 [doi]
- Design of an embedded image acquisition systemPavel Morozkin, Marc Swynghedauw, Maria Trocan. 504-505 [doi]
- Generalized chaotic maps and elementary functions between analysis and implementationWafaa S. Sayed, Abdel-Latif E. Hussien, Hossam A. H. Fahmy, Ahmed G. Radwan. 506-507 [doi]
- Analysis and design of Network on Chip under high process variationRabab Ezz-Eldin, Magdy A. El-Moursy, Hesham F. A. Hamed. 508-509 [doi]
- Speeding-up fast fourier transformMohammed A. El-Motaz, Ahmed M. El-Shafiey, Mohamed E. Farag, Omar A. Nasr, Hossam A. H. Fahmy. 510-511 [doi]
- A 1.6-nA quiescent current bandgap reference in 130-nm CMOS technologyAmr Kamel, Sameh Ibrahim. 512-515 [doi]
- Design of withdrawal weighted transducer SAW filter for RF wireless applicationsM. S. Saad, A. Zaki, T. E. Taha. 516-518 [doi]
- A comparative evaluation of single-walled carbon nanotubes and copper in interconnects and Through-Silicon ViasBassem Safieldeen, Hassan Mostafa, Hamdy Abd Elhamid, Yehea Ismail. 519-522 [doi]
- Yield optimization of spintronic memristor-based memory arraysMarwa Abdallah, Hassan Mostafa, Mohamed Fathy. 523-526 [doi]
- Structure optimization for efficient AlN piezoelectric energy harvestersMostafa Shadoufa, Ahmed Emad, Maged Ghoneima, Mohamed A. E. Mahmoud, Mohamed Dessouky. 527-530 [doi]
- Low-complexity energy-efficient security approach for e-health applications based on physically unclonable functions of sensorsChinmaya Mahapatra, Pouya Kamalinejad, Thanos Stouraitis, Shahriar Mirabbasi, Victor C. M. Leung. 531-534 [doi]
- Context awareness in UbiComp: An IoT oriented distributed architectureRodrigo Santos de Souza, João Ladislau Lopes, Cláudio F. R. Geyer, Cleiton Garcia, Patricia Davet, Adenauer C. Yamin. 535-538 [doi]
- A 1V low-power low-noise biopotential amplifier based on flipped voltage followerTamer Farouk, Mohamed Elkhatib, Mohamed Dessouky. 539-542 [doi]
- A CMOS digitally programmable OTA based instrumentation amplifier for EEG detection systemSoliman A. Mahmoud, Aisha A. Alhammadi. 543-546 [doi]
- Analysis of metastability errors in asynchronous SAR ADCsAllen Waters, Jason Muhlestein, Un-Ku Moon. 547-550 [doi]
- Wide band LC VCO with automatic amplitude controller for IEEE 802.22 cognitive radio receiverMariem Kanoun, Houda Daoud, Hassène Mnif, Mourad Loulou. 551-554 [doi]
- A scalable synchronous reload technique for wide division range multi modulus dividersMohammed El-Shennawy, Mohamed Eissa, Markus Schulz, Niko Joram, Frank Ellinger. 555-558 [doi]
- Design and implementation of an inductorless digitally controlled oscillator based on CMOS invertersImen Ghorbel, Fayrouz Haddad, Hervé Barthélemy, Wenceslas Rahajandraibe, Mourad Loulou, Hassène Mnif. 559-562 [doi]
- A variable interval enhanced jitter tolerant programmable bandwidth blind-oversampling CDR for multi-gigabit ratesSushrant Monga, Shouri Chatterjee. 563-566 [doi]
- Design of a wideband CMOS LNA for low frequency band SKA applicationEman O. Farhat, Kristian Zarb Adami, Owen Casha, Ivan Grech, Jan Geralt bij de Vaate. 567-571 [doi]
- Area efficient and high throughput CABAC encoder architecture for HEVCBruno Boessio Vizzotto, Volnei Mazui, Sergio Bampi. 572-575 [doi]
- SATD hardware architecture based on 8×8 Hadamard Transform for HEVC encoderEianca Silveira, Cláudio Machado Diniz, Mateus Fonseca, Eduardo A. C. da Costa. 576-579 [doi]
- A novel scheduling algorithm for mmWave mesh networks using packet aggregationMuhammad K. Ibrahim, Mahmoud H. Ismail, M. Watheq El-Kharashi. 580-583 [doi]
- Massively parallel cellular matrix model for self-organizing map applicationsHongjian Wang, Abdelkhalek Mansouri, Jean-Charles Créput. 584-587 [doi]
- Parameterized test patterns methodology for layout design rule checking verificationMohamed Tantawy, Rafik Guindi, Mohamed Dessouky, Mohamed Al-Imam. 588-591 [doi]
- Accelerating electromagnetic simulations: A hardware emulation approachM. Tarek Ibn Ziad, Yousra Alkabani, M. Watheq El-Kharashi, Khaled Salah, Mohamed AbdElSalam. 592-595 [doi]
- Deadlock detection in conditional asynchronous circuits under mismatched branch selectionEslam Yahya, Hatem Zakaria, Yehea Ismail. 596-600 [doi]
- Comparing the steady-state procedures based on epsilon-algorithm and sensitivity analysisJosef Dobes, David Cerny, Frantisek Vejrazka, Vaclav Navratil. 601-604 [doi]
- Online bearing fault detection using linear prediction and nonlinear energy operatorM. Samy, A. M. Bassiuny. 605-608 [doi]
- Floating-point adaptive filter architectures for the cancelling of harmonics power line interferenceVagner Guidotti, Eduardo A. C. da Costa, Sérgio J. M. de Almeida, Mateus Fonseca. 609-612 [doi]
- Solving constraints in FPGA detailed routing using SMTMona Safar, Ashraf Salem. 613-616 [doi]
- Hardware/software co-design of a dynamically configurable SHA-3 System-on-Chip (SoC)Khaled E. Ahmed, Mohammed M. Farag. 617-620 [doi]
- Parallel overloaded CDMA interconnect (OCI) bus architecture for on-chip communicationsKhaled E. Ahmed, Mohammed M. Farag. 621-624 [doi]
- Interference alignment techniques for multi-user MIMO systems at millimeter-waveStefano Ciccotosto, Nevio Benvenuto. 625-628 [doi]
- Gaussian random number generator design based on double non-uniform segmentationSouhail Haggui, Fatma Rouissi, Yosra Mlayeh, Fethi Tlili. 629-632 [doi]
- An FPGA-based accelerator for rapid simulation of SC decoding of polar codesJohannes Wuthrich, Alexios Balatsoukas-Stimming, Andreas Burg. 633-636 [doi]
- Digital synchronization for symbol-spaced IEEE802.11ad Gigabit mmWave systemsNicholas Preyss, Andreas Burg. 637-640 [doi]
- Parallel comba multiplication in GF(2163) using homogenous multicore microcontrollerM. S. Albahri, M. Benaissa. 641-644 [doi]
- Improved low power scheduler for OSS-7: An open source DASH7 stackMohammad Hassan Shahid, Shahid Masud. 645-648 [doi]
- A MAC unit with double carry-save scheme suitable for 6-input LUT based reconfigurable systemsUgur Cini, Olcay Kurt. 649-652 [doi]
- High performance FIR filter design for 6-input LUT based FPGAsUgur Cini, Mustafa Aktan. 653-656 [doi]
- Hierarchical energy monitoring for many-core systemsAndre L. M. Martins, Marcelo Ruaro, Fernando Gehm Moraes. 657-660 [doi]
- An evaluation of BTI degradation of 32nm standard cellsRafael B. Schivittz, Cristina Meinhardt, Paulo F. Butzen. 661-664 [doi]
- A high-capacity aggregation solution for fiber to the curb and building over XG-PONThanasis Oikonomou, Dimitrios Kritharidis, Spyridon Spyridakis, Konstantinos Stamatis. 665-668 [doi]
- Design of Optical Network Unit (ONU) for hybrid TDM/WDM NG-PONAndreas Foteas, Nikolaos Liakopoulos, Georgios Menoutis, Ioannis Patronas, Christoforos Kachris, Dimitrios Klonidis. 669-672 [doi]
- A configurable transmitter architecture & organization for XG-PON OLT/ONU/ONT network elementsGeorgios Menoutis, Andreas Foteas, Nikolaos Liakopoulos, Georgios Georgis, Dionysios I. Reisis, George Synnefakis. 673-676 [doi]
- 1.55-μm Dilute Nitride SOAs with low temperature sensitivity for coolerless on-chip operationGiannis Giannoulis, Nikos Iliadis, Dimitrios Apostolopoulos, Paraskevas Bakopoulos, Hercules Avramopoulos, Ville-Markus Korpijarvi, Jaakko Makela, Jukka Viheriala, Mircea Guina. 677-680 [doi]
- Performance evaluation of wavelength exchanging in optical interconnectTawfik Ismail, Hassan Mostafa, Yehia Ismail. 681-684 [doi]
- Metal oxide films/structures for gamma radiation detectionAhmed Omar, Ahmad Baraka, Ahmed H. Zaki, Karam A. Sharshar. 685-688 [doi]
- On the design of a sparsifying dictionary for compressive image feature extractionMarco Trevisi, Ricardo Carmona-Galán, Jorge Femandez-Berni, Ángel Rodríguez-Vázquez. 689-692 [doi]
- Operational deflection shape of rotating object using tracking laser Doppler vibrometerHossam Khalil, Dongkyu Kim, Joonsik Nam, Kyihwan Park. 693-696 [doi]
- Modeling and minimization of energy consumption in wireless sensor networksMohammed Abo-Zahhad, Mohammed Farrag, Abdelhay Ali. 697-700 [doi]
- Modelling extended-lifetime wireless sensor networksAhmed El-Samman, Omar Ahmed, Stefano Gregori. 701-704 [doi]