Journal: Microprocessors and Microsystems

Volume 38, Issue 8

741 -- 742José Silva Matos, Francesco Leporati. MICPRO DSD 2013 Special Issue
743 -- 753Vincent Berg, Jean-Baptiste Doré, Dominique Noguet. A flexible radio transceiver for TVWS based on FBMC
754 -- 765Jirí Balcárek, Petr Fiser, Jan Schmidt. On don't cares in test compression
766 -- 775Yarkin Doröz, Erdinç Öztürk, Berk Sunar. A million-bit multiplier architecture for fully homomorphic encryption
776 -- 787Daniele Bortolotti, Andrea Bartolini, Luca Benini. An ultra-low power resilient multi-core architecture with static and dynamic tolerance to ambient temperature-induced variability
788 -- 802Nasim Farahini, Ahmed Hemani, Hasan Sohofi, Syed M. A. H. Jafri, Muhammad Adeel Tajammul, Kolin Paul. Parallel distributed scalable runtime address generation scheme for a coarse grain reconfigurable computation and storage fabric
803 -- 813Mehmet Ali Arslan, Krzysztof Kuchcinski. Instruction selection and scheduling for DSP kernels
814 -- 825Ran Manevich, Leon Polishuk, Israel Cidon, Avinoam Kolodny. Designing single-cycle long links in hierarchical NoCs
826 -- 842Guangda Zhang, Wei Song 0002, Jim D. Garside, Javier Navaridas, Zhiying Wang. Protecting QDI interconnects from transient faults using delay-insensitive redundant check codes
843 -- 844Peter M. Athanas, René Cumplido, Claudia Feregrino Uribe, Eduardo de la Torre. Introduction to Special issue on FPGA Devices and Applications
845 -- 859Daniel Kliem, Sven-Ole Voigt. Scalability evaluation of an FPGA-based multi-core architecture with hardware-enforced domain partitioning
860 -- 872Robin Bonamy, Sebastien Bilavarn, Daniel Chillet, Olivier Sentieys. Power consumption models for the use of dynamic and partial reconfiguration
873 -- 888Shweta Jain-Mendon, Ron Sass. A hardware-software co-design approach for implementing sparse matrix vector multiplication on FPGAs
889 -- 898Tannous Frangieh, Peter M. Athanas. A design assembly framework for FPGA back-end acceleration
899 -- 910Wei He, Andrés Otero, Eduardo de la Torre, Teresa Riesgo. Customized and automated routing repair toolset towards side-channel analysis resistant dual rail logic
911 -- 919Andreas Agne, Hendrik Hangmann, Markus Happe, Marco Platzner, Christian Plessl. Seven recipes for setting your FPGA on fire - A cookbook on heat generators
920 -- 0Francesco Leporati, Lech Józwiak. Preface
921 -- 932Salvador Trujillo, Alfons Crespo, Alejandro Alonso, Jon Pérez. MultiPARTES: Multi-core partitioning and virtualization for easing the certification of mixed-criticality systems
933 -- 946Ivan Kastelan, Jorge R. Lopez Benito, Enara Artetxe Gonzalez, Jan Piwinski, Moshe Barak, Miodrag Temerinac. E2LP: A unified embedded engineering learning platform
947 -- 959Erkan Diken, Roel Jordans, Rosilde Corvino, Lech Józwiak, Henk Corporaal, Felipe Augusto Chies. Construction and exploitation of VLIW ASIPs with heterogeneous vector-widths
960 -- 975Héctor Posadas, Alejandro Nicolás, Pablo Peñil, Eugenio Villar, Florian Broekaert, Michel Bourdellès, Albert Cohen, Mihai T. Lazarescu, Luciano Lavagno, Andrei Terechko, Miguel Glassee, Manuel Prieto. Improving the design flow for parallel and heterogeneous architectures running real-time applications: The PHARAON FP7 project
976 -- 990Roberto Giorgi, Rosa M. Badia, François Bodin, Albert Cohen, Paraskevas Evripidou, Paolo Faraboschi, Bernhard Fechner, Guang R. Gao, Arne Garbade, Rahulkumar Gayatri, Sylvain Girbal, Daniel Goodman, Behram Khan, Souad Koliai, Joshua Landwehr, Nhat Minh Lê, Feng Li, Mikel Luján, Avi Mendelson, Laurent Morin, Nacho Navarro, Tomasz Patejko, Antoniu Pop, Pedro Trancoso, Theo Ungerer, Ian Watson, Sebastian Weis, Stéphane Zuckerman, Mateo Valero. TERAFLUX: Harnessing dataflow in next generation teradevices
991 -- 999Hoda Naghibi Jouybari, Karim Mohammadi. A low overhead, fault tolerant and congestion aware routing algorithm for 3D mesh-based Network-on-Chips
1000 -- 1011Mostafa Elhoushi, M. Watheq El-Kharashi, Hatem Elrefaei. Model of a hybrid processor executing C++ with additional quantum functions
1012 -- 1024Chenglong Xiao, Emmanuel Casseau, Shanshan Wang, Wanjun Liu. Automatic custom instruction identification for application-specific instruction set processors
1025 -- 1036Fenglong Song, Shibin Tang, Wenming Li, Futao Miao, Hao Zhang, Dongrui Fan, Zhiyong Liu. CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network
1037 -- 1045Eman Kamel Gawish, M. Watheq El-Kharashi, M. F. Abu-Elyazeed. Variability-tolerant routing algorithms for Networks-on-Chip
1046 -- 1062Moein Kianpour, Reza Sabbaghi-Nadooshan. A conventional design and simulation for CLB implementation of an FPGA quantum-dot cellular automata
1063 -- 1071Vikas Kumar, Kailash Chandra Ray, Preetam Kumar. CORDIC-based VLSI architecture for real time implementation of flat top window
1072 -- 1081Mojtaba Valinataj. A novel self-checking carry lookahead adder with multiple error detection/correction

Volume 38, Issue 7

637 -- 648Madhushika M. E. Karunarathna, Yu-Chu Tian, Colin J. Fidge. Domain-specific application analysis for customized instruction identification
649 -- 658Asim Datta, Dipankar Mukherjee, Hiranmay Saha. A dsPIC based novel digital sinusoidal pulse-width modulation technique for voltage source inverter applications
659 -- 668Layla Horrigue, Taoufik Saidani, Refka Ghodhbani, Julien Dubois, Johel Mitéran, Mohamed Atri. An efficient hardware implementation of MQ decoder of the JPEG2000
669 -- 680Yuhai Li, Kuizhi Mei, Yuehu Liu, Nanning Zheng, Yi Xu. LDBR: Low-deflection bufferless router for cost-sensitive network-on-chip design
681 -- 691Amir Yazdanbakhsh, Mehdi Kamal, Sied Mehdi Fakhraie, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram. Implementation-aware selection of the custom instruction set for extensible processors
692 -- 706Erulappan Sakthivel, Veluchamy Malathi, Muruganantham Arunraja. MATHA: Multiple sense amplifiers with transceiver for high performance improvement in NoC Architecture
707 -- 716Syed Zohaib Gilani, Taejoon Park, Nam Sung Kim. Low-cost scratchpad memory organizations using heterogeneous cell sizes for low-voltage operations
717 -- 729Xingxing Jin, Brian Daku, Seok-Bum Ko. Improved GPU SIMD control flow efficiency via hybrid warp size mechanism
730 -- 740Javier Echanobe, Inés del Campo, Koldo Basterretxea, M. Victoria Martínez, Faiyaz Doctor. An FPGA-based multiprocessor-architecture for intelligent environments

Volume 38, Issue 6

525 -- 0Masoud Daneshtalab, Maurizio Palesi, Juha Plosila, Ahmed Hemani. Special issue on many-core embedded systems
526 -- 538Karim M. Abdellatif, Roselyne Chotin-Avot, Habib Mehrez. Authenticated encryption on FPGAs from the static part to the reconfigurable part
539 -- 551Jia Huang, Simon Barner, Andreas Raabe, Christian Buckl, Alois Knoll. A framework for reliability-aware embedded system design on multiprocessor platforms
552 -- 564Mohammad Maghsoudloo, Hamid R. Zarandi. Reliability improvement in private non-uniform cache architecture using two enhanced structures for coherence protocols and replacement policies
565 -- 566Lorena Anghel, Cristiana Bolchini, Salvatore Pontarelli. Editorial
567 -- 580Antonio Miele. A fault-injection methodology for the system-level dependability analysis of multiprocessor embedded systems
581 -- 584Pedro Reviriego, Serdar Zafer Can, Çagri Eryilmaz, Juan Antonio Maestro, Oguz Ergin. Exploiting processor features to implement error detection in reduced precision matrix multiplications
585 -- 597Stelios Neophytou, Maria K. Michael. Multiple detection test generation with diversified fault partitioning paths
598 -- 604Serdar Zafer Can, Gulay Yalcin, Oguz Ergin, Osman Sabri Unsal, Adrián Cristal. Bit Impact Factor: Towards making fair vulnerability comparison
605 -- 619Petr Pfeifer, Zdenek Plíva. A new method for in situ measurement of parameters and degradation processes in modern nanoscale programmable devices
620 -- 635Michael G. Dimopoulos, Yi Gang, Lorena Anghel, Mounir Benabdenbi, Nacer-Eddine Zergainoh, Michael Nicolaidis. Fault-tolerant adaptive routing under an unconstrained set of node and link failures for many-core systems-on-chip

Volume 38, Issue 5

359 -- 367Gábor Gyepes, Viera Stopjaková, Daniel Arbet, Libor Majer, Juraj Brenkus. DDT test approach and its efficiency in covering resistive opens in SRAM arrays
368 -- 374Nan Li, Elena Dubrova. Area-efficient high-coverage LBIST
375 -- 398Sani Abba, Jeong-A. Lee. A parametric-based performance evaluation and design trade-offs for interconnect architectures using FPGAs for networks-on-chip
399 -- 414Behnam Khodabandeloo, Ahmad Khonsari, Farzad Gholamian, Mohammad Hassan Hajiesmaili, Aminollah Mahabadi, Hamid Noori. Scenario-based quasi-static task mapping and scheduling for temperature-efficient MPSoC design under process variation
415 -- 430Yuang Zhang, Li Li 0003, Zhonghai Lu, Axel Jantsch, Minglun Gao, Hongbing Pan, Feng Han. A survey of memory architecture for 3D chip multi-processors
431 -- 442Yu-Kuen Lai, Chun-Chieh Lee, Bo-Hsun Huang, Theophilus Wellem, Nan-Cheng Wang, Tze-Yu Chou, Hargyo Tri Nugroho. Real-time detection of changes in network with OpenFlow based on NetFPGA implementation
443 -- 450Antônio de Pádua Finazzi, Gustavo Brito de Lima, Luiz Carlos Gomes de Freitas, Ernane Antônio Alves Coelho, Valdeir José Farias, Luiz C. G. Freitas. Proposal for preprogrammed control applied to a current-sensorless PFC boost converter
451 -- 457Chen Zhao, Kuizhi Mei, Nanning Zheng. Design of write merging and read prefetching buffer in DRAM controller for embedded processor
458 -- 469ZhiLei Chai, Xinglong Shao, Yuanpu Zhang, Wenmin Yang, Qin Wu. Accelerating image boundary detection by hardware parallelism
470 -- 484Valery Sklyarov, Iouliia Skliarova. High-performance implementation of regular and easily scalable sorting networks on an FPGA
485 -- 495Po-Yueh Chen, Chiung-Hsien Jen. Register swapping schemes for low power execution
496 -- 508T. Ananthan, M. V. Vaidyan. An FPGA-based parallel architecture for on-line parameter estimation using the RLS identification algorithm
509 -- 524Antonio Carlos Schneider Beck, Mateus Beck Rutzig, Luigi Carro. A transparent and adaptive reconfigurable system

Volume 38, Issue 4

253 -- 0Diana Goehringer, Hamid Sarbazi-Azad, Rainer Stotzka. Special Issue on Networks-on-Chip and Memories for Multicore Architectures
254 -- 262Ehsan Atoofian. Boosting performance of transactional memory through O-GEHL predictors
263 -- 275Shirshendu Das, Hemangee K. Kapoor. Victim retention for reducing cache misses in tiled chip multiprocessors
276 -- 291Mario Lodde, José Flich. Runtime home mapping for effective memory resource usage
292 -- 303Efstathios Sotiriou-Xanthopoulos, Dionysios Diamantopoulos, Kostas Siozios, George Economakos, Dimitrios Soudris. A framework for rapid evaluation of heterogeneous 3-D NoC architectures
304 -- 315Chifeng Wang, Nader Bagherzadeh. Design and evaluation of a high throughput QoS-aware and congestion-aware router architecture for Network-on-Chip
316 -- 324Tianzhou Chen, Weiwei Fu, Bin Xie, Chao Wang. Packet triggered prediction based task migration for network-on-chip
325 -- 336Coskun Çelik, Cüneyt F. Bazlamaçci. Evaluation of energy and buffer aware application mapping for networks-on-chip
337 -- 357Ludovic Devaux, Sébastien Pillement. OCEAN, a flexible adaptive Network-On-Chip for dynamic applications

Volume 38, Issue 3

183 -- 196Jiajia Jiao, Yuzhuo Fu. Exploiting and evaluating the potentials of the link addition method for NoC transient error mitigation
197 -- 207Chang-Jung Ku, Ching-Wen Chen, An Hsia, Chun-Lin Chen. Linked instruction caches for enhancing power efficiency of embedded systems
208 -- 215Monika Kapus-Kolar. On the global optimization of checking sequences for finite state machine implementations
216 -- 225Tan Yiyu, Yasushi Inoguchi, Yukinori Sato, Makoto Otani, Yukio Iwaya, Takao Tsuchiya. Design and implementation of a two-dimensional sound field solver based on the Digital Huygens' Model
226 -- 232Lanfranco Lopriore. Hardware support for memory protection in sensor nodes
233 -- 245Sungchan Kim, Soonhoi Ha. System-level performance analysis of multiprocessor system-on-chips by combining analytical model and execution time variation
246 -- 252Arash Nejat, Seyed Mohammad Hossein Shekarian, Morteza Saheb Zamani. A study on the efficiency of hardware Trojan detection based on path-delay fingerprinting

Volume 38, Issue 2

113 -- 123Antônio Augusto Mück, A. A. Fröhlich. Aspect-oriented RTL HW design using SystemC
124 -- 136Syed M. A. H. Jafri, Stanislaw J. Piestrak, Olivier Sentieys, Sébastien Pillement. Design of the coarse-grained reconfigurable architecture DART with on-line error detection
137 -- 151Diego Andrade, Basilio B. Fraguela, Ramon Doallo. Address independent estimation of the boundaries of cache performance
152 -- 169Yahya Jan, Lech Józwiak. Processor architecture exploration and synthesis of massively parallel multi-processor accelerators in application to LDPC decoding
170 -- 181Faizal Arya Samman. Runtime connection-oriented guaranteed-bandwidth network-on-chip with extra multicast communication service

Volume 38, Issue 1

1 -- 8Zbigniew Hajduk. An FPGA embedded microcontroller
9 -- 21Z. J. Jia, A. Núñez, Tomás Bautista, Andy D. Pimentel. A two-phase design space exploration strategy for system-level real-time application mapping onto MPSoC
22 -- 30Ying Zhang, Lide Duan, Bin Li, Lu Peng, Xin Fu. Design configuration selection for hard-error reliable processors via statistical rules
31 -- 41Theodoros Lioris, Grigoris Dimitroulakos, Konstantinos Masselos. An early memory hierarchy evaluation simulator for multimedia applications
42 -- 52Tareq Hasan Khan, Khan A. Wahid. A portable wireless body sensor data logger and its application in video capsule endoscopy
53 -- 63Alexandre Yasuo Yamamoto, Cristinel Ababei. Unified reliability estimation and management of NoC based chip multiprocessors
64 -- 75Fahimeh Farahnakian, M. Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila. Bi-LCQ: A low-weight clustering-based Q-learning approach for NoCs
76 -- 81Ed Harcourt, James Perconti. A SystemC library for specifying pipeline abstractions
82 -- 87Yong-Qing Wang, Fu-Chang Huang, Ye Tao, Ri-Bo Mu. Relay-style Digital Speed Measurement Method and Dynamic Position Subdivision Method
88 -- 97Mohammad H. Mottaghi, Hamid R. Zarandi. DFTS: A dynamic fault-tolerant scheduling for real-time tasks in multicore processors
98 -- 111Geng Tian, Michael Liebelt. An effectiveness-based adaptive cache replacement policy