Journal: IEEE Micro

Volume 23, Issue 6

5 -- 0. Letters
8 -- 10Charles R. Moore, Kevin W. Rudd, Ruby B. Lee, Pradip Bose. Guest Editors Introduction: Micro s Top Picks from Microarchitecture Conferences
11 -- 19Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan. Checkpoint Processing and Recovery: An Efficient, Scalable Alternative to Reorder Buffers
20 -- 25Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt. Runahead Execution: An Effective Alternative to Large Instruction Windows
26 -- 35Michael K. Chen, Kunle Olukotun. The Jrpm System for Dynamically Parallelizing Sequential Java Programs
36 -- 45Christoforos E. Kozyrakis, David A. Patterson. Scalable Vector Processors for Embedded Systems
46 -- 51Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Doug Burger, Stephen W. Keckler, Charles R. Moore. Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture
52 -- 61Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan. Temperature-Aware Computer Systems: Opportunities and Challenges
62 -- 68Grigorios Magklis, Greg Semeraro, David H. Albonesi, Steve Dropsho, Sandhya Dwarkadas, Michael L. Scott. Dynamic Frequency and Voltage Scaling for a Multiple-Clock-Domain Microprocessor
70 -- 75Shubhendu S. Mukherjee, Christopher T. Weaver, Joel S. Emer, Steven K. Reinhardt, Todd M. Austin. Measuring Architectural Vulnerability Factors
76 -- 83Mohamed A. Gomaa, Chad Scarbrough, T. N. Vijaykumar, Irith Pomeranz. Transient-Fault Recovery for Chip Multiprocessors
84 -- 93Timothy Sherwood, Erez Perelman, Greg Hamerly, Suleyman Sair, Brad Calder. Discovering and Exploiting Program Phases
94 -- 98Alaa R. Alameldeen, David A. Wood. Addressing Workload Variability in Architectural Simulations
99 -- 107Changkyu Kim, Doug Burger, Stephen W. Keckler. Nonuniform Cache Architectures for Wire-Delay Dominated On-Chip Caches
108 -- 116Milo M. K. Martin, Mark D. Hill, David A. Wood. Token Coherence: A New Framework for Shared-Memory Multiprocessors
117 -- 125Ravi Rajwar, James A. Goodman. Transactional Execution: Toward Reliable, High-Performance Multithreading
126 -- 134José F. Martínez, Josep Torrellas. Speculative Synchronization: Programmability and Performance for Parallel Codes

Volume 23, Issue 5

0 -- 74Richard H. Stern. Unresolved Legal Questions about Patents and Standard Setting
0 -- 87Charles R. Moore. Managing the Transition from Complexity to Elegance: Knowing When You Have a Problem
6 -- 0Richard Mateosian. So Many Books, So Little Time
8 -- 11Pradip Bose, David H. Albonesi, Diana Marculescu. Guest Editors Introduction: Power and Complexity Aware Design
12 -- 25Michael C. Huang, Daniel Chaver, Luis Piñuel, Manuel Prieto, Francisco Tirado. Customizing the Branch Predictor to Reduce Complexity and Energy Consumption
26 -- 38Lieven Eeckhout, Sébastien Nussbaum, James E. Smith, Koen De Bosschere. Statistical Simulation: Adding Efficiency to the Computer Designer s Toolbox
40 -- 49Nathalie Julien, Johann Laurent, Eric Senn, Eric Martin. Power Consumption Modeling and Characterization of the TI C6201
50 -- 58Jaume Abella, Ramon Canal, Antonio González. Power- and Complexity-Aware Issue Queue Designs
60 -- 70Joshua B. Fryman, Chad Huneycutt, Hsien-Hsin S. Lee, Kenneth M. Mackenzie, David E. Schimmel. Energy-Efficient Network Memory for Ubiquitous Devices
75 -- 77Shane M. Greenstein. Jumping on Bandwagons

Volume 23, Issue 4

5 -- 0Pradip Bose. Editor-in-Chief?s Message: Adapting Old Paradigms to Meet New Challenges
8 -- 10Shane M. Greenstein. Moore Meets Malthus in Multiples
11 -- 13Richard Mateosian. Managing Software Projects
14 -- 19Cristian Constantinescu. Trends and Challenges in VLSI Circuit Reliability
21 -- 31Stamatis Vassiliadis, Stephan Wong, Sorin Cotofana. Microcode Processing: Positioning and Directions
32 -- 42Wangyang Lai, Chin-Tau A. Lea. A Programmable State Machine Architecture for Packet Processing
44 -- 56Bruce L. Jacob. A Case for Studying DRAM Issues at the System Level
58 -- 70Kerem Karadayi, Vishal Markandey, Jeremiah Golston, Robert J. Gove, Yongmin Kim. Strategies for Mapping Algorithms to Mediaprocessors for High Performance
73 -- 77. The Use and Abuse of SPEC: An ISCA Panel
78 -- 80Alan Clements. CSIDC: Competing Students Design Real-World Systems

Volume 23, Issue 3

5 -- 0Pradip Bose. Design and Integration: Chip- and System-Level Challenges
6 -- 7Alberto L. Sangiovanni-Vincentelli, Luciano Lavagno. Guest Editors Introduction: Trends and Directions in Microelectronics
8 -- 18Alberto L. Sangiovanni-Vincentelli. Electronic-System Design in the Automobile Industry
20 -- 26Theo A. C. M. Claasen. System on a Chip: Changing IC Design Today and in the Future
28 -- 35James D. Meindl. Interconnect Opportunities for Gigascale Integration
36 -- 44Bruno Murari. Integrating Nonelectronic Components into Electronic Microsystems
46 -- 57Nick Richardson, Lun Bin Huang, Razak Hossain, Julian Lewis, Tommy Zounes, Naresh Soni. The iCore 520-MHz Synthesizable CPU Core
58 -- 67Amaury Nève, Denis Flandre, Jean-Jacques Quisquater. SOI Technology for Future High-Performance Smart Cards
68 -- 69Shane M. Greenstein. An Era of Error
70 -- 72Richard Mateosian. Evolution

Volume 23, Issue 2

5 -- 0Pradip Bose. Issues and Trends in High-Performance Processor Cores
7 -- 0Richard Mateosian. Nuts and Bolts
8 -- 11John Wawrzynek, Keith Diefendorff. Guest Editors Introduction: Hot Chips 14 - Innovation in the Face of Uncertain Economics
12 -- 21Alfred K. Wong. Microlithography: Trends, Challenges, Solutions, and Their Impact on Design
22 -- 28Luiz André Barroso, Jeffrey Dean, Urs Hölzle. Web Search for a Planet: The Google Cluster Architecture
29 -- 43John Nickolls, L. J. Madar III, Scott Johnson, Viresh Rustagi, Ken Unger, Mustafiz Choudhury. Calisto: A Low-Power Single-Chip Multiprocessor Communications Platform
44 -- 55Cameron McNairy, Don Soltis. Itanium 2 Processor Microarchitecture
56 -- 65David Koufaty, Deborah T. Marr. Hyperthreading Technology in the Netburst Microarchitecture
66 -- 76Chetana N. Keltcher, Kevin J. McGrath, Ardsher Ahmed, Pat Conway. The AMD Opteron Processor for Multiprocessor Servers
78 -- 80Shane M. Greenstein. Too Much Internet Backbone?

Volume 23, Issue 1

5 -- 6Pradip Bose. Looking Forward to Bright New Beginnings
8 -- 9John W. Lockwood. Guest Editor s Introduction: Hot Interconnects 10--Thinking beyond the Internet
10 -- 24François Abel, Cyriel Minkenberg, Ronald P. Luijten, Mitchell Gusat, Ilias Iliadis. 10 A Four-Terabit Packet Switch Supporting Long Round-Trip Times
26 -- 35Hangsheng Wang, Li-Shiuan Peh, Sharad Malik. A Power Model for Routers: Modeling Alpha 21364 and InfiniBand Routers
36 -- 43Rong Pan, Balaji Prabhakar, Lee Breslau, Scott Shenker. Approximate Fair Allocation of Link Bandwidth
44 -- 53Rina Panigrahy, Samar Sharma. Sorting and Searching using Ternary CAMs
54 -- 59David V. Schuehler, John W. Lockwood. TCP Splitter: A TCP/IP Flow Monitor in Reconfigurable Hardware
60 -- 65Hans Eberle. A Radio Network for Monitoring and Diagnosing Computer Systems
66 -- 74Marc A. Viredaz, Deborah A. Wallach. Power Evaluation of a Handheld Computer
76 -- 80Richard H. Stern. Weird Turn of Events in Continuing Rambus Saga
82 -- 83Richard Mateosian. Leadership Annoyances
84 -- 86Shane M. Greenstein. Where Did the Internet Go?