Journal: ACM Trans. Design Autom. Electr. Syst.

Volume 10, Issue 4

587 -- 588Ian G. Harris. Introduction
589 -- 609Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner. XFM: An incremental methodology for developing formal models
610 -- 626Masahiro Fujita. Equivalence checking between behavioral and RTL descriptions with virtual controllers and datapaths
627 -- 650Tao Feng, Li-C. Wang, Kwang-Ting Cheng, Chih-Chan Lin. Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator
651 -- 672Jason T. Higgins, Mark Aagaard. Simplifying the design and automating the verification of pipelines with structural hazards
673 -- 689Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi. Instruction-level test methodology for CPU core self-testing
690 -- 701Ahmad A. Al-Yamani, Edward J. McCluskey. Test chip experimental results on high-level structural test
702 -- 723Calin Ciordas, Twan Basten, Andrei Radulescu, Kees Goossens, Jef L. van Meerbergen. An event-based monitoring service for networks on chip

Volume 10, Issue 3

431 -- 461JoAnn M. Paul, Donald E. Thomas, Andrew S. Cassidy. High-level modeling and simulation of single-chip programmable heterogeneous multiprocessors
462 -- 491Arnab Roy, S. K. Panda, Rajeev Kumar, P. P. Chakrabarti. A framework for systematic validation and debugging of pipeline simulators
492 -- 522Ansuman Banerjee, Pallab Dasgupta. The open family of temporal logics: Annotating temporal operators with input constraints
523 -- 545Farinaz Koushanfar, Inki Hong, Miodrag Potkonjak. Behavioral synthesis techniques for intellectual property protection
546 -- 560Puneet Gupta, Andrew B. Kahng, Stefanus Mantik. Routing-aware scan chain ordering
561 -- 572Hua Xiang, Xiaoping Tang, Martin D. F. Wong. An algorithm for integrated pin assignment and buffer planning
573 -- 586Jaehwan John Lee, Vincent John Mooney III. An ::::o::::(::::min::::(::::m::::, ::::n::::)) parallel deadlock detection algorithm

Volume 10, Issue 2

187 -- 204Noureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria. Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques
205 -- 228Aiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaushik Roy. Synthesis of skewed logic circuits
229 -- 257Ismail Kadayif, Anand Sivasubramaniam, Mahmut T. Kandemir, Gokul B. Kandiraju, Guangyu Chen. Optimizing instruction TLB energy using software and hardware techniques
258 -- 278Xiao Liu, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran. Efficient techniques for transition testing
279 -- 302Kara K. W. Poon, Steven J. E. Wilton, Andy Yan. A detailed power model for field-programmable gate arrays
303 -- 329Soumendu Bhattacharya, Abhijit Chatterjee. Optimized wafer-probe and assembled package test design for analog circuits
330 -- 353Saraju P. Mohanty, N. Ranganathan. Energy-efficient datapath scheduling using multiple voltages and dynamic clocking
354 -- 368Azadeh Davoodi, Ankur Srivastava. Voltage scheduling under unpredictabilities: a risk management paradigm
369 -- 388Zhong Wang, Xiaobo Sharon Hu. Energy-aware variable partitioning and instruction scheduling for multibank memory architectures
389 -- 430Jason Cong, Joseph R. Shinnerl, Min Xie, Tim Kong, Xin Yuan. Large-scale circuit placement

Volume 10, Issue 1

1 -- 2Nikil D. Dutt. Editorial
3 -- 23Jason Cong, Hui Huang, Xin Yuan. Technology mapping and architecture evalution for ::::k/m::::-macrocell-based FPGAs
24 -- 32Shanq-Jang Ruan, Kun-Lin Tsai, Edwin Naroska, Feipei Lai. Bipartitioning and encoding in low-power pipelined circuits
33 -- 57Seda Ogrenci Memik, Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh. A scheduling algorithm for optimization and early planning in high-level synthesis
58 -- 90Saurabh N. Adya, Igor L. Markov. Combinatorial techniques for mixed-size placement
91 -- 115Mehrdad Nourani, Mohammad H. Tehranipour. RL-huffman encoding for test compression and power reduction in scan applications
116 -- 135Gene Eu Jan, Ki-Yin Chang, Su Gao, Ian Parberry. A 4-geometry maze router and its application on multiterminal nets
136 -- 156Péter Arató, Zoltán Ádám Mann, András Orbán. Algorithmic aspects of hardware/software partitioning
157 -- 167Dimitrios Kagaris. A unified method for phase shifter computation
168 -- 186Chi-Chou Kao, Yen-Tai Lai. An efficient algorithm for finding the minimal-area FPGA technology mapping