Journal: IEEE Trans. VLSI Syst.

Volume 32, Issue 4

597 -- 608Licai Hao, Xinyi Zhang, Chenghu Dai, Qiang Zhao 0007, Wenjuan Lu, Chunyu Peng, Yongliang Zhou, Zhiting Lin, Xiulong Wu. Soft-Error-Immune Quadruple-Node-Upset Tolerant Latch Based on Polarity Design and Source-Isolation Technologies
609 -- 618Irith Pomeranz. Bit-Complemented Test Data to Replace the Tail of a Fault Coverage Curve
619 -- 632Aswini K. Samantaray, Pranose J. Edavoor, Amol D. Rahulkar. A Novel Design Approach and VLSI Architecture of Rationalized Bi-Orthogonal Wavelet Filter Banks
633 -- 644Erfan Bank-Tavakoli, Michael Riera, Masudul Hassan Quraishi, Fengbo Ren. FSpGEMM: A Framework for Accelerating Sparse General Matrix-Matrix Multiplication Using Gustavson's Algorithm on FPGAs
645 -- 657Xiao Hu 0007, Zhihao Li, Zhongfeng Wang 0001, Xianhui Lu. ALT: Area-Efficient and Low-Latency FPGA Design for Torus Fully Homomorphic Encryption
658 -- 668Musha Ji'e, Hongxin Peng, Shukai Duan, Lidan Wang 0001, Fengqing Zhang, Dengwei Yan. Design and FPGA Implementation of Grid-Scroll Hamiltonian Conservative Chaotic Flows With a Line Equilibrium
669 -- 681Jia-Zhao Lin, Po-Ta Chen, Hung-Yuan Chin, Pei-Yun Tsai, Sz-Yuan Lee. Design and Implementation of a Real-Time Imaging Processor for Spaceborne Synthetic Aperture Radar With Configurability
695 -- 703Basant Kumar Mohanty. Memory-Efficient Multiplier-Less 2-D DWT Design Using Combined Convolution and Lifting Schemes for Wireless Visual Sensors
704 -- 713Yi-Hao Lan, Shen-Iuan Liu. A 0.079-pJ/b/dB 32-Gb/s 2× Half-Baud-Rate CDR Circuit With Frequency Detector
714 -- 727Changmin Song, Hoyong Jung, KyoungSeop Chang, Kwanglae Cho, Seungyong Yoon, Young-Chan Jang. A 24-Gb/s MIPI C-/D-PHY Receiver Bridge Chip With Phase Error Calibration Supporting FPGA-Based Frame Grabber
728 -- 738Ana Mitrovic, Eby G. Friedman. Thermal Exploration of RSFQ Integrated Circuits
752 -- 762Joseph Franklin Clements, Yingjie Lao. Reliable Hardware Watermarks for Deep Learning Systems
763 -- 773Renas Ercan, Yunjia Xia, Yunyi Zhao, Rui C. V. Loureiro, Shufan Yang, Hubin Zhao. An Ultralow-Power Real-Time Machine Learning Based fNIRS Motion Artifacts Detection
774 -- 781Jun Liu 0070, Songren Cheng, Tian Chen, Xi Wu 0003, Huaguo Liang. A Self-Biased Current Reference Source-Based Pre-Bond TSV Test Solution
782 -- 786Chenjia Xie, Zhuang Shao, Zhichao Chen, Yuan Du, Li Du. An Energy-Efficient Spiking Neural Network Accelerator Based on Spatio-Temporal Redundancy Reduction
787 -- 791Hsi-Kai Peng, Shen-Iuan Liu. A 12.93-16 Gb/s Reference-Less Baud-Rate CDR Circuit With One-Tap DFE and Semirotational Frequency Detection

Volume 32, Issue 3

401 -- 412Jinwoo Kim, Lingjun Zhu, Hakki Mert Torun, Madhavan Swaminathan, Sung Kyu Lim. A PPA Study for Heterogeneous 3-D IC Options: Monolithic, Hybrid Bonding, and Microbumping
413 -- 421Sai Pentapati, Sung Kyu Lim. Heterogeneous Monolithic 3-D IC Designs: Challenges, EDA Solutions, and Power, Performance, Cost Tradeoffs
422 -- 431Xiaoxiao Zheng, Mao Ye 0007, Zhiwei Li, Yao Li, Qiuwei Wang, Yiqiang Zhao. A CMOS AFE Array With DC Input Current Cancellation for FMCW LiDAR
432 -- 441Hongge Li, Yuhao Chen. Hybrid Stochastic Number and Its Neural Network Computation
442 -- 454Yongqiang Zhang 0006, Jiao Qin, Jie Han 0001, Guangjun Xie. Design of a Stochastic Computing Architecture for the Phansalkar Algorithm
455 -- 467Sunwoong Kim, Cameron James Norris, James I. Oelund, Rob A. Rutenbar. Area-Efficient Iterative Logarithmic Approximate Multipliers for IEEE 754 and Posit Numbers
468 -- 479Chihiro Matsui, Kasidit Toprasertpong, Shinichi Takagi, Ken Takeuchi. FeFET Local Multiply and Global Accumulate Voltage-Sensing Computation-In-Memory Circuit Design for Neuromorphic Computing
480 -- 484Po-Yuan Chou, Wei-Ming Chen, Shen-Iuan Liu. A 16-Gb/s Baud-Rate CDR Circuit With One-Tap Speculative DFE and Wide Frequency Capture Range
485 -- 496Zhaojun Lu, Xueyan Wang, Md Tanvir Arafin, Haoxiang Yang, Zhenglin Liu, Jiliang Zhang 0002, Gang Qu 0001. An RRAM-Based Computing-in-Memory Architecture and Its Application in Accelerating Transformer Inference
497 -- 504YaJuan Hui, Qingzhen Li, Leimin Wang, Cheng Liu 0008, Deming Zhang, Xiangshui Miao. In-Memory Wallace Tree Multipliers Based on Majority Gates Within Voltage-Gated SOT-MRAM Crossbar Arrays
505 -- 518Yuan Dai, Jingyuan Li, Qilong Zhu, Yunhui Qiu, Yihan Hu, Wenbo Yin, Lingli Wang. HETA: A Heterogeneous Temporal CGRA Modeling and Design Space Exploration via Bayesian Optimization
519 -- 529Si-Huang Liu, Chia-Yi Kuo, Yannan Mo, Tao Su. An Area-Efficient, Conflict-Free, and Configurable Architecture for Accelerating NTT/INTT
530 -- 541Bofan Chen, Zhiqun Li, Wei Shi, Yan Yao, Zhi-Ying Xia, Bing-Yan Qiu, Hao Ji. A 6-18-GHz 6-bit Full-360° Vector-Sum Phase Shifter With Low Error in 40-nm CMOS
542 -- 551Yifei Zheng, Boyu Li, Qianheng Dong, Yutao Ying, Deyuan Song, Jing Zhu 0006, Weifeng Sun, Qinsong Qian, Long Zhang, Sheng Li, Denggui Wang, Jianjun Zhou. S/dt Noise Immunity Enhancement Structure
552 -- 563Rakesh Varma Rena, Raviteja Kammari, Vijay Shankar Pasupureddi. A 0.4-1.8-GHz Quarter-Rate Subsampling Mixer-First Direct Down-Conversion RF Front-End
564 -- 572Xingyu Wang, Ruilin Zhang, Kunyang Liu, Hirofumi Shinohara. A 0.116 pJ/bit Latch-Based True Random Number Generator Featuring Static Inverter Selection and Noise Enhancement
573 -- 586Thai-Ha Tran, Duc-Thuan Dam, Ba-Anh Dao, Van-Phuc Hoang, Cong-Kha Pham, Trong-Thuc Hoang. Compacting Side-Channel Measurements With Amplitude Peak Location Algorithm
587 -- 591Mahsa Zareie, Kamal El-Sankary, Ezz I. El-Masry, Ximing Fu. An Open-Loop VCO-ADC Based on a Linearized Current Control Technique
592 -- 596Kasra Ahmadi, Saeed Aghapour, Mehran Mozaffari Kermani, Reza Azarderakhsh. Efficient Error Detection Schemes for ECSM Window Method Benchmarked on FPGAs

Volume 32, Issue 2

205 -- 218Lucas Compassi Severo, Tailize C. De-Oliveira, Paulo César Comassetto de Aguirre, Wilhelmus A. M. Van Noije, Alessandro Gonçalves Girardi. Variable Conversion Approach for Design Optimization of Low-Voltage Low-Pass Filters
219 -- 230Charalampos Eleftheriadis, Georgios Chatzitsompanis, Georgios Karakonstantis. Enabling Voltage Over-Scaling in Multiplierless DSP Architectures via Algorithm-Hardware Co-Design
231 -- 244Manuel Brosch, Matthias Probst, Matthias Glaser, Georg Sigl. A Masked Hardware Accelerator for Feed-Forward Neural Networks With Fixed-Point Arithmetic
245 -- 255Sureum Choi, Daejin Han, Chanyeong Choi, Yeongkyo Seo. Layout-Aware Area Optimization of Transposable STT-MRAM for a Processing-In-Memory System
256 -- 268Liang Chang 0002, Xin Zhao, Ting Yue, Xi Yang, Chenglong Li, Shuisheng Lin, Jun Zhou 0017. IPOCIM: Artificial Intelligent Architecture Design Space Exploration With Scalable Ping-Pong Computing-in-Memory Macro
269 -- 282Shiwei Liu, Chen Mu, Hao Jiang, Yunzhengmao Wang, Jinshan Zhang, Feng Lin, Keji Zhou, Qi Liu 0010, Chixiao Chen. HARDSEA: Hybrid Analog-ReRAM Clustering and Digital-SRAM In-Memory Computing Accelerator for Dynamic Sparse Self-Attention in Transformer
283 -- 290Junjie An, Zhidao Zhou, Linfang Wang, Wang Ye, Weizeng Li, Hanghang Gao, Zhi Li, Jinghui Tian, Yan Wang, Hongyang Hu, Jinshan Yue, Lingyan Fan, Shibing Long, Qi Liu 0010, Chunmeng Dou. Write-Verify-Free MLC RRAM Using Nonbinary Encoding for AI Weight Storage at the Edge
291 -- 304Bin Li 0023, Yunfei Yan, Yuanxin Wei, Heru Han. Scalable and Parallel Optimization of the Number Theoretic Transform Based on FPGA
305 -- 318Tao Zhang, Md Latifur Rahman, Hadi Mardani Kamali, Kimia Zamiri Azar, Farimah Farahmandi. SiPGuard: Run-Time System-in-Package Security Monitoring via Power Noise Variation
319 -- 332Tao Zhang, Mark M. Tehranipoor, Farimah Farahmandi. TrustGuard: Standalone FPGA-Based Security Monitoring Through Power Side-Channel
333 -- 346Md. Moshiur Rahman, Swarup Bhunia. Practical Implementation of Robust State-Space Obfuscation for Hardware IP Protection
347 -- 360Christopher Vega, Patanjali SLPSK, Swarup Bhunia. IOLock: An Input/Output Locking Scheme for Protection Against Reverse Engineering Attacks
361 -- 371Vijaypal Singh Rathor, Munesh Singh, Kshira Sagar Sahoo, Saraju P. Mohanty. GateLock: Input-Dependent Key-Based Locked Gates for SAT Resistant Logic Locking
372 -- 381Zhuojun Chen, Wenhao Yang, Jinghang Chen, Zujun Wang, Ding Ding. Improving Radiation Reliability of SRAM-Based Physical Unclonable Function With Self-Healing and Pre-Irradiation Masking Techniques
382 -- 395Jingqi Zhang, Zhiming Chen 0001, Mingzhi Ma, Rongkun Jiang, Hongshuo Li, Weijiang Wang. High-Performance ECC Scalar Multiplication Architecture Based on Comb Method and Low-Latency Window Recoding Algorithm
396 -- 400Run Yan, Yin Su, Hui Guo 0004, Yashuai Lü, Jin Wang, Nong Xiao, Li Shen 0007, Yongwen Wang, Libo Huang. MPRTA: An Efficient Multilevel Parallel Mobile Accelerator for High-Performance Ray Tracing

Volume 32, Issue 1

1 -- 3Jari Nurmi, Snorre Aunet, Alireza Saberkari. Guest Editorial Selected Papers From IEEE Nordic Circuits and Systems Conference (NorCAS) 2022
4 -- 15Agnimesh Ghosh, Andrei Spelman, Tze Hin Cheung, Dhanashree Boopathy, Kari Stadius, Manil Dev Gomony, Mikko Valkama, Jussi Ryynänen, Marko Kosunen, Vishnu Unnikrishnan. Reconfigurable Signal Processing and DSP Hardware Generator for 5G and Beyond Transmitters
16 -- 29Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Thomas Wild, Andreas Herkersdorf. HW-FUTEX: Hardware-Assisted Futex Syscall
30 -- 41Christian Lanius, Tobias Gemmeke. Fully Digital, Standard-Cell-Based Multifunction Compute-in-Memory Arrays for Genome Sequencing
42 -- 54Siavash Mowlavi, Stavros Giannakopoulos, Alexander Grabowski, Lars Svensson. A Review of IC Drivers for VCSELs in Datacom Applications
55 -- 67Yu-Kai Huang, Saul Rodriguez 0001. Noise Analysis and Design Methodology of Chopper Amplifiers With Analog DC-Servo Loop for Biopotential Acquisition Applications
68 -- 78Johnson Loh, Tobias Gemmeke. Stream Processing Architectures for Continuous ECG Monitoring Using Subsampling- Based Classifiers
79 -- 88Fredrik Feyling, Hampus Malmberg, Carsten Wulff, Hans-Andrea Loeliger, Trond Ytterdal. Design and Analysis of the Leapfrog Control-Bounded A/D Converter
89 -- 102Kimiyoshi Usami, Daiki Yokoyama, Aika Kamei, Hideharu Amano, Kenta Suzuki, Keizo Hiraga, Kazuhiro Bessho. Optimized Two-Step Store Control for MTJ-Based Nonvolatile Flip-Flops to Minimize Store Energy Under Process and Temperature Variations
103 -- 115Abdulaziz Alshaya, Sudhakar Pamarti, Christos Papavassiliou. FPGA Crystal Oscillator Circuit Emulation Based on Wave Digital Filter
116 -- 127Aibin Yan, Litao Wang, Jie Cui 0004, Zhengfeng Huang, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen. Nonvolatile Latch Designs With Node-Upset Tolerance and Recovery Using Magnetic Tunnel Junctions and CMOS
128 -- 136Na Bai, Xin Xiao, Yaohua Xu, Yi Wang, Liang Wang, Xinjie Zhou. Soft-Error-Aware SRAM With Multinode Upset Tolerance for Aerospace Applications
137 -- 149Pengcheng Huang, Yaohua Wang, Zhenyu Zhao, Daheng Yue. CAUTS: Clock Tree Optimization via Skewed Cells With Complementary Asymmetrical Uniform Transistor Sizing
150 -- 163Zuzana Jelcicová, Evangelia Kasapaki, Oskar Andersson, Jens Sparsø. PeakEngine: A Deterministic On-the-Fly Pruning Neural Network Accelerator for Hearing Instruments
164 -- 177Chen Yang 0005, Yishuo Meng, Jiawei Xi, Siwei Xiang, Jianfei Wang, Kuizhi Mei. WRA-SS: A High-Performance Accelerator Integrating Winograd With Structured Sparsity for Convolutional Neural Networks
178 -- 189Chenghan Wang, Qinzhi Xu, Chuanjun Nie, He Cao, Jianyun Liu, Daoqing Zhang, Zhiqiang Li. A Multiscale Anisotropic Thermal Model of Chiplet Heterogeneous Integration System
190 -- 194Shourya Gupta, Shuo Li 0008, Benton H. Calhoun. Scalable All-Analog LDOs With Reduced Input Offset Variability Using Digital Synthesis Flow in 65-nm CMOS
195 -- 199Irith Pomeranz. Testability Evaluation for Local Design Modifications
200 -- 204Ke Chang, Qian Xing, Guoliang Jia, Yang Pu, Yan Wang, Yuxin Wang, Yanlong Zhang, Guohe Zhang. An Improved DEM for Multibit DT ΣΔMs Based on Poles Splitting Technique and Segmented VQ