Journal: IEEE Trans. Circuits Syst. I Regul. Pap.

Volume 70, Issue 12

4675 -- 4677Weisheng Zhao, Hai Helen Li, Domenico Zito. Outgoing Editorial
4678 -- 0Hai Helen Li. Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2023
4679 -- 4691Lai Wei, Zihao Zheng, Nereo Markulic, Jorge Lagos 0001, Ewout Martens, Rui Paulo Martins, Yan Zhu 0001, Jan Craninckx, Chi-Hang Chan. A 12-bit 1GS/s ADC With Background Distortion and Split-ADC-Like Gain Calibration
4692 -- 4704Nardi Utomo, Boon Chiat Terence Teo, Xian Yang Lim, Venkadasamy Navaneethan, Ziming Liu, Chong Boon Tan, Liter Siek. An 85.1% Peak Efficiency, Low Power Class H Audio Amplifier With Full Class H Operation
4705 -- 4718Jack Kee Yong, Wen Xun Lian, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Gabriel Chong, Nai Shyan Lai, Yong Chen 0005, Pui-In Mak, Rui Paulo Martins. A Fully Integrated CMOS Tri-Band Ambient RF Energy Harvesting System for IoT Devices
4719 -- 4728Caolei Pan, Chenchang Zhan, Rui Paulo Martins, Chi-Seng Lam. A Continuous-Output-Current Buck-Boost Converter Without Right-Half-Plane-Zero (RHPZ)
4729 -- 4741Yue Hu, Yuekai Liu, Xinyu Qin, Yan Liu 0016, Mingqiang Guo, Sai-Weng Sin, Guoxing Wang, Yong Lian 0001, Liang Qi. A Two-Channel Time-Interleaved Continuous-Time Third-Order CIFF-Based Delta-Sigma Modulator
4742 -- 4754Xinwei Yu, Zhi Chen, Siqing Wu, Lulu Liu, Hao Chi, Fan Ye 0001, Junyan Ren. 28-nm CMOS Ultrasound AFE With Split Attenuation for Optimizing Gain-Range, Noise, and Area
4755 -- 4766Chi-Wa U, Cong Liu, Rui Paulo Martins, Chi-Seng Lam. An 1 V Supply, 740 nW, 8.7 ppm/°C Bandgap Voltage Reference With Segmented Curvature Compensation
4767 -- 4780Mingqiang Guo, Liang Qi, Weibing Zhao, Gang Xiao 0001, Rui Paulo Martins, Sai-Weng Sin. A 10b 700 MS/s Single-Channel 1b/Cycle SAR ADC Using a Monotonic-Specific Feedback SAR Logic With Power-Delay-Optimized Unbalanced N/P-MOS Sizing
4781 -- 4792Gaofeng Tan, Xinyu Qin, Yan Liu 0016, Mingqiang Guo, Sai-Weng Sin, Guoxing Wang, Yong Lian 0001, Liang Qi. A 10MHz-BW 85dB-DR CT 0-4 Mash Delta-Sigma Modulator Achieving +5dBFS MSA
4793 -- 4803Yong-Un Jeong, Sungphil Choi, Suhwan Kim, Joo-Hyung Chae. Single-Ended Receiver-Side Crosstalk Cancellation With Independent Gain and Timing Control for Minimum Residual FEXT
4804 -- 4815Hritom Das, Rocco D. Febbo, Sree Nirmillo Biswash Tushar, Nishith N. Chakraborty, Maximilian Liehr, Nathaniel C. Cady, Garrett S. Rose. An Efficient and Accurate Memristive Memory for Array-Based Spiking Neural Networks
4816 -- 4825Satyam Shukla, Utkarsh, Md Azam, Kailash Chandra Ray. An Efficient Fault-Tolerant Instruction Decoder for RISC-V Based Dual-Core Soft-Processors
4826 -- 4839Jongbeom Kim, Hyundong Lee, JongHyun Ko, Bongjun Kim, Taigon Song. 3L: A Practical Implementation of Tri-Transistor Ternary Logic Based on Inkjet-Printed Anti-Ambipolar Transistors and CMOSs of Thin-Film Structure
4840 -- 4853Nima Amirafshar, Ahmad Sadigh Baroughi, Hadi Shahriar Shahhoseini, Nima Taherinejad. Carry Disregard Approximate Multipliers
4854 -- 4864Thang Xuan Pham, Phap Duong-Ngoc, Hanho Lee. An Efficient Unified Polynomial Arithmetic Unit for CRYSTALS-Dilithium
4865 -- 4876Lujie Peng, Junyu Yang, Zhiyi Chen, Longke Yan, Xiben Jiao, Jianbiao Xiao, Liang Zhou, Liang Chang 0002, Yu Long 0005, Jun Zhou 0017. A High Accuracy and Low Power CNN-Based Environmental Sound Classification Processor
4877 -- 4887Leonardo Heitich Brendler, Hervé Lapuyade, Yann Deval, Frédéric Darracq, Frédéric Fauquet, Ricardo Reis 0001, François Rivet. A Proof-of-Concept of a Multiple-Cell Upsets Detection Method for SRAMs in Space Applications
4888 -- 4899Yuxuan Du, Junyi Qian, Zhuo Chen, Weiwei Shan. An All-Digital, 1.92-7.32 mV/LSB, 0.5-2 GS/s Sample Rate, and 0-Latency Prediction Voltage Sensor With Dynamic PVT Calibration for Droop Detection and AVS System
4900 -- 4913Yuan Cao 0003, Wanyi Liu, Yue Zheng, Shuai Chen, Jing Ye 0001, Lei Qian, Chip-Hong Chang. A New Reconfigurable True Random Number Generator and Physical Unclonable Function Unified Chip With On-Chip Auto-Calibration
4914 -- 4927Yifan Gong, Jinshuo Zhang, Xin Liu, Jialin Li, Ying Lei, Zhe Zhang, Chen Yang 0005, Li Geng. A Real-Time and Efficient Optical Flow Tracking Accelerator on FPGA Platform
4928 -- 4937Yangyi Zhang, Xianglong Wang, Gang Shi, Zizhao Peng, Lei Chen, Fengwei An. Anti-Aliasing and Anti-Color-Artifact Demosaicing for High-Resolution CMOS Image Sensor
4938 -- 4948Xiangqu Fu, Qirui Ren, Hao Wu, Feibin Xiang, Qing Luo, Jinshan Yue, Yong Chen 0005, Feng Zhang 0014. 3 ViT: A CIM-Based High-Utilization Architecture With Dynamic Pruning and Two-Way Ping-Pong Macro for Vision Transformer
4949 -- 4961Junseo Lee, Jihwan Park, Seokhun Kim, Hanwool Jeong. Bayesian Learning Automated SRAM Circuit Design for Power and Performance Optimization
4962 -- 4974Nabasmita Phukan, M. Sabarimalai Manikandan, Ram Bilas Pachori. AFibri-Net: A Lightweight Convolution Neural Network Based Atrial Fibrillation Detector
4975 -- 4985Jaejin Kim, Gunmo Koo, Seongmin Lee, Jae Hoon Shim, Kunhee Cho. An Output-Capacitor-Free NMOS Digital LDO Using Gate Driving Strength Modulation and Droop Detector
4986 -- 4998Dao-Han Yao, Tzu-Ning Liu, Makoto Takamiya, Po-Hung Chen. A 6.78-MHz Wireless Power Transfer System With Dual-Output Resonant Current-Mode Regulating Rectifier and Transmission Power Regulation
4999 -- 5008Ya-Ting Hsu, Jia-Rui Huang, Kai-Syun Chang, Chin-Hsiang Liang, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai. An Interleaved Hybrid-Module Step-Up Converter With Reduction of Switching Frequency and Output Ripple
5009 -- 5010Chip-Hong Chang, Pingqiang Zhou, Yuan Cao 0003, Qiang Liu 0011. Guest Editorial Special Issue on the Asian Hardware Oriented Security and Trust Symposium (AsianHOST 2022)
5011 -- 5024Chaohui Xu, Wenye Liu, Yue Zheng, Si Wang, Chip-Hong Chang. An Imperceptible Data Augmentation Based Blackbox Clean-Label Backdoor Attack on Deep Neural Networks
5025 -- 5035Yiqiang Zhao, Shijian Pan, Haocheng Ma, Ya Gao, Xintong Song, Jiaji He, Yier Jin. Side Channel Security Oriented Evaluation and Protection on Hardware Implementations of Kyber
5036 -- 5047Chutitep Woralert, Chen Liu 0001, Zander Blasingame. HARD-Lite: A Lightweight Hardware Anomaly Realtime Detection Framework Targeting Ransomware
5048 -- 5059Pengfei Qiu, Qiang Gao, Chang Liu, Dong-Sheng Wang 0002, Yongqiang Lyu, Xiaoyong Li 0003, Chunlu Wang, Gang Qu 0001. PMU-Spill: A New Side Channel for Transient Execution Attacks
5060 -- 5073Tianyu Chen, Shijie Jia 0001, Yuan Ma, Yuan Cao 0003, Na Lv, Wei Wang 0314, Jing Yang, Jingqiang Lin. A Design of High-Efficiency Coherent Sampling Based TRNG With On-Chip Entropy Assurance
5074 -- 5085Tianming Ni, Qingsong Peng, Jingchang Bian, Liang Yao, Zhengfeng Huang, Aibin Yan, Senling Wang, Xiaoqing Wen. Design of True Random Number Generator Based on Multi-Ring Convergence Oscillator Using Short Pulse Enhanced Randomness
5086 -- 5097Nishanth Basavaraj, Shanthi Pavan. Digital Reconstruction in Continuous-Time Pipelined Analog-to-Digital Converters
5098 -- 5109Daniel Widmann, Markus Grözing, Manfred Berroth. Digital Time-Domain Predistortion of Linear Periodically Time-Varying Effects and Its Application to a 100-GS/s Time-Interleaved CMOS DAC
5110 -- 5123Xi Meng, Haoran Li, Peng Chen 0022, Jun Yin 0001, Pui-In Mak, Rui Paulo Martins. Analysis and Design of a 15.2-to-18.2-GHz Inverse-Class-F VCO With a Balanced Dual-Core Topology Suppressing the Flicker Noise Upconversion
5124 -- 5137Xu Wang, Michael Peter Kennedy. Enhanced Jitter Analysis and Minimization for Digital PLLs With Mid-Rise TDCs and its Impact on Output Phase Noise
5138 -- 5151Yiming Yu, Yanpeng Wu, Pan Tang, Chenxi Zhao, Huihua Liu, Yunqiu Wu, Wen-Yan Yin, Kai Kang. An 18~30 GHz Vector-Sum Phase Shifter With Two-Stage Transformer-Based Hybrid in 130-nm SiGe BiCMOS
5152 -- 5165Cody J. Ellington, Sandeep Hari, Brian A. Floyd. Analysis and Design of Baseband Circuits for Higher-Order Reflection-Mode N-Path Filters
5166 -- 5179Wenjun Tang, Jialong Liu, Chen Sun 0010, Zijie Zheng, Yongpan Liu, Huazhong Yang, Chen Jiang, Kai Ni 0004, Xiao Gong, Xueqing Li. Low-Power and Scalable BEOL-Compatible IGZO TFT eDRAM-Based Charge-Domain Computing
5180 -- 5193Yitong Xiong, Yan Pu, Zhinan Yu, Yao Yao, Xiaozong Huang, Guoqiang Wang. A 2-20-GHz 360° Variable Gain Phase Shifter MMIC With Reverse-Slope Phase Compensation
5194 -- 5207Zixiao Zhang, Dimitra Psychogiou. Incorporating Directionality in Transversal-Resonator-Based Bandpass Filters With Tunable Transfer Function Characteristics
5208 -- 5219Jie Shi, Xiaohu Fang, Changning Wei, Tao Lin, Luyu Zhao, Kwok-Keung Michael Cheng. Design of a Highly Efficient Class-F GaN MMIC Power Amplifier Using a Multi-Function Bias Network and a Harmonic-Isolation L-C Resonator
5220 -- 5228Hiroshi Fuketa, Ippei Akita, Tomohiro Ishikawa, Hanpei Koike, Takahiro Mori. A Cryogenic CMOS Current Integrator and Correlation Double Sampling Circuit for Spin Qubit Readout
5229 -- 5241Kuang-Wei Cheng, Sheng-Kai Chang, Shao-Ting Chang, Si-Lou Li, Yu-Chieh Huang. An 11 pJ/Bit Multichannel OOK/FSK/QPSK Transmitter With Multi-Phase Injection-Locking and Frequency Multiplication Techniques
5242 -- 5253Baijie Zhang, Jiawei Wang, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng. Dominant-Node Theory and Monitoring-Rescue Method for Eliminating Undesired Operating Points in the Self-Biased Reference Generators
5254 -- 5264Myung Gi Lim, Seung Woo Lee. Real-Time Compensation in Organic Light-Emitting Diode Television Displays Using Current Sensing Method With Charge Integrators
5265 -- 5274Kourosh Parsaei, Rasool Keshavarz, Rashid Mirzavand, Negin Shariati. Compact Pixelated Microstrip Forward Broadside Coupler Using Binary Particle Swarm Optimization
5275 -- 5288Gennaro Di Meo, Antonio Giuseppe Maria Strollo, Davide De Caro. Novel Low-Power Floating-Point Divider With Linear Approximation and Minimum Mean Relative Error
5289 -- 5301Mingqiang Huang, Junyi Luo, Chenchen Ding, Zikun Wei, Sixiao Huang, Hao Yu 0001. An Integer-Only and Group-Vector Systolic Accelerator for Efficiently Mapping Vision Transformer on Edge
5302 -- 5315Xin-Yu Shih, Hsiang-En Wu, Ming-Xian Cai. Design and Implementation of Dual-Mode Support Vector Machine (SVM) Trainer and Classifier Chip Architecture for Human Disease Detection Applications
5316 -- 5327Geonhwi Lee, Bomin Joo, Bai-Sun Kong. CMOS Clock-Gated Synchronous Up/Down Counter With High-Speed Local Clock Generation and Compact Toggle Flip-Flop
5328 -- 5338Jiaxuan Cai, Xinmiao Zhang. Low-Complexity Parallel Min-Sum Medium-Density Parity-Check Decoder for McEliece Cryptosystem
5339 -- 5351Hanwen Gong, Hu He 0001, Liyang Pan, Bin Gao 0006, Jianshi Tang, Sining Pan, Jianing Li, Peng Yao, Dabin Wu, He Qian, Huaqiang Wu. An Error-Free 64KB ReRAM-Based nvSRAM Integrated to a Microcontroller Unit Supporting Real-Time Program Storage and Restoration
5352 -- 5365Chong-Qiang Ye, Jian Li 0035, Xiu-Bo Chen, Mianxiong Dong, Kaoru Ota. Measurement-Based Quantum Sealed-Bid Auction
5366 -- 5379Florian Klemme, Hussam Amrouch. Transistor Self-Heating-Aware Synthesis for Reliable Digital Circuit Designs
5380 -- 5393Jiawei Xu 0002, Jiangshan Fan, Baolin Nan, Chen Ding, Lirong Zheng 0001, Zhuo Zou, Yuxiang Huan. ASLog: An Area-Efficient CNN Accelerator for Per-Channel Logarithmic Post-Training Quantization
5394 -- 5407Bing Hua, Xiaosong Wei, Yunhua Wu, Zhiming Chen. Multi-Prior Mixture Distribution and Arithmetic Average Fusion-Based Student's t Filter
5408 -- 5420Yan Yang, Lilian Huang, Nikolay V. Kuznetsov, Qiang Lai. Design and Implementation of Grid-Wing Hidden Chaotic Attractors With Only Stable Equilibria
5421 -- 5432Xiaohui Hu, Chen Peng 0001, Hao Shen 0001, Engang Tian. Extended Dissipative Scalable Control for AC Islanded Microgrids
5433 -- 5441Jingwei Xu, Zhenghong Jin. Extremum-Seeking for PWM-Controlled Double-Integrator System via Nonlinear Small-Gain Approach
5442 -- 5455Tianyi Zhou 0003, Li Dai, Qing Li, Yuanqing Xia. Distributed Economic MPC for Dynamically Coupled Systems With Stochastic Disturbances
5456 -- 5467Liangjie Sun, Wai-Ki Ching, Shiyong Zhu, Jianquan Lu. On Synchronization Design and State Observer Design of (Singular) Boolean Networks
5468 -- 5481Marzieh Hashemipour-Nazari, Yuqing Ren, Kees Goossens, Alexios Balatsoukas-Stimming. Pipelined Architecture for Soft-Decision Iterative Projection Aggregation Decoding for RM Codes
5482 -- 5495Qiaobo Ma, Xiongjie Zhang, Anyang Zhao, Huihua Li, Yang Jiang 0002, Man Kay Law, Makoto Takamiya, Rui Paulo Martins, Pui-In Mak. L Reduction for 12 V/24 V Inputs
5496 -- 5506Oswaldo López-Santos, David A. Zambrano Prada, Hugo Valderrama-Blavi, Angel Cid-Pastor, Luís Vázquez-Seisdedos, Abdelali El Aroudi, Luis Martínez-Salamero. Design of Loss-Free Resistors Terminated at a Generic Nonlinear Static Load
5507 -- 5519Chenkang Xue, Bingruo Gong, Huipeng Xu, Yanzheng Yu, Yong Ding 0003, Wuhua Li, Wanyuan Qu. A 36-55 V Input 0.6-2.5 V Output Bypass-Assist Series-Capacitor Power Converter With 93.1% Peak Efficiency and 1.5 mA-5 A Load Range
5520 -- 5533Amulya Viswambharan, Rachid Errouissi, Mahdi Debouza, Hussain Shareef. Experimental Verification of Disturbance Observer-Based Backstepping Control for DC-DC Boost Converter