Journal: IEEE Trans. Circuits Syst. I Regul. Pap.

Volume 70, Issue 9

3413 -- 3421Shanthi Pavan, Gabor C. Temes. Reciprocity and Inter-Reciprocity: A Tutorial - Part I: Linear Time-Invariant Networks
3422 -- 3435Shanthi Pavan, Gabor C. Temes. Reciprocity and Inter-Reciprocity: A Tutorial - Part II: Linear Periodically Time-Varying Networks
3436 -- 3439Benoit Gosselin, Réjean Fontaine, Frederic Nabki, Srinjoy Mitra. Guest Editorial Special Issue on the IEEE International NEWCAS Conference 2022
3440 -- 3450Kota Shiba, Mitsuji Okada, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda. Polyomino: A 3D-SRAM-Centric Accelerator for Randomly Pruned Matrix Multiplication With Simple Reordering Algorithm and Efficient Compression Format in 180-nm CMOS
3451 -- 3460Yongqian Du, Kai Yang, Guifang Li, Nan Chen 0005, Shibin Liu. Single Antenna and No Circulator Full-Duplex With 52 dB Wideband Self-Interference Suppression
3461 -- 3473Fei Yuan 0005, Parth Parekh, Yushi Zhou. Bi-Directional Gated Ring Oscillator Time Integrator
3474 -- 3483Stefan Reich, Dominik Fritschi, Markus Sporer, Maurits Ortmanns. In Vitro Study of Artifact-Recovery Using a 32-Channel Neuromodulator Platform
3484 -- 3496Frederik Dreyer, Daniel Krüger, Sander Baas, Aldrik H. Velders, Jens Anders. A 5-780-MHz Transceiver ASIC for Multinuclear NMR Spectroscopy in 0.13-μm BiCMOS
3497 -- 3508Zhaoguang Si, Chaohan Wang, Xiongfei Jiang, Zheyi Li, Guoyang Huang, Alexander Serb, Themis Prodromakis, Shiwei Wang, Christos Papavassiliou. Memristor-Assisted Background Calibration for SAR ADCs: A Feasibility Study
3509 -- 3521Raphael Nägele, Jakob Finkbeiner, Valentin Stadtlander, Markus Grözing, Manfred Berroth. Analog Multiply-Accumulate Cell With Multi-Bit Resolution for All-Analog AI Inference Accelerators
3522 -- 3533Magali Ozon, Antoine Frasie, Gabriel Gagnon-Turcotte, Mourad Roudjane, Laurent J. Bouyer, Ghyslain Gagnon, Younès Messaddeq, Benoit Gosselin. Motion Detection and Analysis Using Multimaterial Fiber Sensors
3534 -- 3544Cristian Bocciarelli, Francesco Centurelli, Pietro Monsurrò, Giuseppe Scotti, Valerio Spinogatti, Pasquale Tommasino, Alessandro Trifiletti. High-Accuracy Low-Cost Generalized Complex Pruned Volterra Models for Nonlinear Calibration
3545 -- 3556Teng-Shen Yang, Huai-Yuan Hsieh, Liang-Hung Lu. A 2.4-GHz Ring-VCO-Based Sub-Sampling PLL With a -70-dBc Reference Spur by Adopting a Capacitor-Multiplier-Based Sub-Sampling DLL
3557 -- 3566Dengquan Li, Xin Zhao, Yi Shen 0007, Shubin Liu, Zhangming Zhu. A 7-bit 3.8-GS/s 2-Way Time-Interleaved 4-bit/Cycle SAR ADC 16× Time-Domain Interpolation in 28-nm CMOS
3567 -- 3574Sandeep Tripathi, Sudhanshu Choudhary, Prasanna Kumar Misra. An 8T PA Attack Resilient NVSRAM for In-Memory-Computing Applications
3575 -- 3583Ling Wang, Shubin Liu, Yanbo Zhang, Longjie Zhong, Zhangming Zhu. A 44-μW, 91.3-dB SNDR DT Δ Σ Modulator With Second-Order Noise-Shaping SAR Quantizer
3584 -- 3597Jiahao Zhang, Xing He 0001, Gui Zhao, Tingwen Huang. FPGA Implementation for Finite-Time and Fixed-Time Neurodynamic Algorithms in Constrained Optimization Problems
3598 -- 3611Charalampos Eleftheriadis, Georgios Karakonstantis. Optimal Adder-Multiplexer Co-Optimization for Time-Multiplexed Multiplierless Architectures
3612 -- 3624JongHyun Ko, Jongbeom Kim, TaeGam Jeong, Jaehoon Jeong, Taigon Song. Exploration of Ternary Logic Using T-CMOS for Circuit-Level Design
3625 -- 3638Chenjia Xie, Zhuang Shao, Ning Zhao, Yuan Du, Li Du. An Efficient CNN Inference Accelerator Based on Intra- and Inter-Channel Feature Map Compression
3639 -- 3652Xingwen Zhou, Dongdong Zhao 0002, Zongsheng Geng, Li Xu 0004, Shi Yan. FPGA Implementation of Non-Commensurate Fractional-Order State-Space Models
3653 -- 3664Shuai Hu, Kaining Han, Yubin Zhu, Guodong Shen, Fujie Wang, JianHao Hu. High Throughput and Hardware Efficient Hybrid LDPC Decoder Using Bit-Serial Stochastic Updating
3665 -- 3675Wenxu Zhang, Xiaoqi Zhao, Manjun Lu, Zhennan Wu, Feiran Liu. Generalized FRM-Based P-L Band Multi-Channel Channelizers for Array Signal Processing System
3676 -- 3688Jianeng Tang, Zezong Zhang, Peiyang Chen, Zhongming Huang, Tingting Huang. A Simple Chaotic Model With Complex Chaotic Behaviors and Its Hardware Implementation
3689 -- 3698Wenhao Liu, Kehui Sun, Shaobo He, Huihai Wang. The Parallel Chaotification Map and Its Application
3699 -- 3711Paul R. Genssler, Hamza Errahmouni Barkam, Karthik Pandaram, Mohsen Imani, Hussam Amrouch. Modeling and Predicting Transistor Aging Under Workload Dependency Using Machine Learning
3712 -- 3722Hui Peng 0003, Jincheng Huang, Zonghao Zhao, Huijiao Wang, Peng Shi 0001. ∞ Pinning Synchronization Control for Markovian Intermittent Time-Varying Coupled Neural Networks Under Multiplicative Noises
3723 -- 3734Xindong Si, Zhen Wang 0008, Yingjie Fan 0003, Xia Huang, Hao Shen 0001. Sampled-Data-Based Bipartite Leader-Follower Synchronization of Cooperation-Competition Neural Networks via Interval-Scheduled Looped-Functions
3735 -- 3745Yiheng Wei, Linlin Zhao, Junguo Lu, Fawaz E. Alsaadi, Jinde Cao. LMI Stability Condition for Delta Fractional Order Systems With Region Approximation
3746 -- 3758Chaoqun Guo, Jiangping Hu, Yanzhi Wu, Sergej Celikovský. Non-Singular Fixed-Time Tracking Control of Uncertain Nonlinear Pure-Feedback Systems With Practical State Constraints
3759 -- 3769Xinsong Yang, Qihan Qi, Peng Shi 0001, Zhengrong Xiang, Linbo Qing. 2-Gain Analysis for Synchronization of Switched Nonlinear Time-Delay Systems With Random Injection Attacks
3770 -- 3780Zhen Gao 0005, Yinghao Cheng, Qiang Liu 0011, Anees Ullah, Pedro Reviriego. Efficient Protection of FPGA Implemented LDPC Decoders Against Single Event Upsets (SEUs) on Configuration Memories
3781 -- 3789Sanming Hu, Zihao Shi, Guoqing Dong, Yizhu Shen. D-Band On-Chip Couplers With Multilayered Slow-Wave Unit Cell in Standard CMOS Process
3790 -- 3805Xuchu Mu, Guangshu Zhao, Anyang Zhao, Yang Jiang 0002, Man Kay Law, Makoto Takamiya, Pui-In Mak, Rui Paulo Martins. Floating-Domain Integrated GaN Driver Techniques for DC-DC Converters: A Review
3806 -- 3817Fatemeh Nasr Esfahani, Seyed M. Madani, Mehdi Niroomand, Alireza Safaee. Maximum Wireless Power Transmission Using Real-Time Single Iteration Adaptive Impedance Matching

Volume 70, Issue 8

3065 -- 3074Jialin Liu 0005, David J. Allstot. A Chopper-Stabilized Switched-Capacitor Front-End for Peripheral Nervous System Recording
3075 -- 3088Ying Liu, Yufei Ma 0002, Wei He, Zhixuan Wang, Linxiao Shen, Jiayoon Ru, Ru Huang, Le Ye. An 82-nW 0.53-pJ/SOP Clock-Free Spiking Neural Network With 40-μs Latency for AIoT Wake-Up Functions Using a Multilevel-Event-Driven Bionic Architecture and Computing-in-Memory Technique
3089 -- 3102Shivendra Singh Parihar, Victor M. van Santen, Simon Thomann, Girish Pahwa, Yogesh Singh Chauhan, Hussam Amrouch. Cryogenic CMOS for Quantum Processing: 5-nm FinFET-Based SRAM Arrays at 10 K
3103 -- 3116Shuyang Li, Bowen Xia, Xingcun Li, Yunfan Wang, Xin Liu, Wenhua Chen. Analysis and Design of Broadband Balance-Compensated Transformer Baluns for Silicon-Based Millimeter-Wave Circuits
3117 -- 3129Han Zhou, Jose-Ramon Perez-Cisneros, Björn Langborn, Thomas Eriksson, Christian Fager. A Wideband and Highly Efficient Circulator Load Modulated Power Amplifier Architecture
3130 -- 3141Quan Xu 0001, Yiteng Wang, Herbert Ho-Ching Iu, Ning Wang 0015, Han Bao 0001. Locally Active Memristor-Based Neuromorphic Circuit: Firing Pattern and Hardware Experiment
3142 -- 3153Jing Li 0022, Bowen Yao, Lin Fan, Tianci Zhang, Yixin Zhang, Kejun Wu, Zhong Zhang 0002, Qihui Zhang, Yan Wang, Ning Ning 0002, Qi Yu 0002. A 20 nW +0.8°C/-0.8°C Inaccuracy (3σ) Leakage-Based CMOS Temperature Sensor With Supply Sensitivity of 0.9°C/V
3154 -- 3166Amin Pourvali Kakhki, Mohammad Taherzadeh-Sani, Frederic Nabki. An Energy Efficient Coherent IR-UWB Receiver With Non-Coherent-Assisted Synchronization
3167 -- 3177Yuyuan Tian, Zhenghe Qin, Ying Yu, Di Gao, Xufeng Liao, Lianxi Liu. A Low-Noise and Low-Power Multi-Channel ECG AFE Based on Orthogonal Current-Reuse Amplifier
3178 -- 3186Lang Chen, Lisheng Chen, Zeyu Ge, Yichuang Sun, Xi Zhu 0001. A 40-GHz Load Modulated Balanced Power Amplifier Using Unequal Power Splitter and Phase Compensation Network in 45-nm SOI CMOS
3187 -- 3197Lianxi Liu, Ying Yu, Xufeng Liao, Jie Yin, Jian Ma, Xiudeng Wang. MPPT Multiplexed Hybrid Energy Harvesting Interface With Adaptive Switching Cycle and Single-Cycle Sampling for Wearable Electronics
3198 -- 3211Yanan Sun 0003, Dengfeng Wang, Liukai Xu, Yiming Chen, Zhi Li, Songyuan Liu, Weifeng He, Yongpan Liu, Huazhong Yang, Xueqing Li. CREAM: Computing in ReRAM-Assisted Energy- and Area-Efficient SRAM for Reliable Neural Network Acceleration
3212 -- 3220Samuel Santos Pereira, Luís Filipe Almeida, Rui Fiel Cordeiro, Arnaldo S. R. Oliveira, Paulo P. Monteiro, Nuno Borges Carvalho. Scalable Resource Optimized LUT-Based All-Digital Transmitter
3221 -- 3233Yu Wang, Jinde Cao, Ardak Kashkynbayev. Multi-Agent Bifurcation Consensus-Based Multi-Layer UAVs Formation Keeping Control and Its Visual Simulation
3234 -- 3243Naina Gupta 0001, Arpan Jati, Anupam Chattopadhyay, Gautam Jha. Lightweight Hardware Accelerator for Post-Quantum Digital Signature CRYSTALS-Dilithium
3244 -- 3253Jaehan Park, Jae-Yoon Sim. A Reconfigurable LDO-Assisted Physically Unclonable Function Achieving a Zero-BER With 14% Masking
3254 -- 3267Arnab Bag, Sikhar Patranabis, Debdeep Mukhopadhyay. CAMiSE: Content Addressable Memory-Integrated Searchable Encryption
3268 -- 3279Yuan Zhang, Lele Peng, Lianghua Quan, Yonggang Zhang, Shubin Zheng, Hui Chen 0015. High-Precision Method and Architecture for Base-2 Softmax Function in DNN Training
3280 -- 3293Jiangli Huang, Chuyu Wang, Yuyang Yan, Cong Tao, Fan Yang 0001, Changhao Yan, Wenchuang Walter Hu, Dian Zhou, Xuan Zeng 0001. An Analog Circuit Building Block Generator via Nested Multi-Fidelity Modeling
3294 -- 3304Shanglin Li, Yangzhou Chen, Peter Xiaoping Liu. Distributed Fault Detection and Dynamic Event-Triggered Consensus for Heterogeneous Multiagent Systems Under Deception Attacks
3305 -- 3315Zengjie Zhang, Fangzhou Liu, Tong Liu, Jianbin Qiu, Martin Buss. A Persistent-Excitation-Free Method for System Disturbance Estimation Using Concurrent Learning
3316 -- 3326Chunting Ji, Zhengqiang Zhang, Xue-Jun Xie, Shuzhi Sam Ge. Event-Triggered Control for a Second Order ODE-Heat System Coupling at Intermediate Point
3327 -- 3335Yanyan Ye, Zhengjie Huang, Liangyin Zhang, Qianqian Cai, Yuanqing Wu 0003. Consensus of Networked Fractional-Order Systems With Intermittent Sampled Position Measurements
3336 -- 3346Yewei Yu, Chen Zhang, En Wang, Miaolei Zhou. Neural Network Adaptive Control of Magnetic Shape Memory Alloy Actuator With Time Delay Based on Composite NARMAX Model
3347 -- 3358Jing Zhao 0010, Kaiheng Yang, Yucong Cao, Zhongchao Liang, Wenfeng Li 0002, Zhengchao Xie, Pak Kin Wong 0001. Observer-Based Discrete-Time Cascaded Control for Lateral Stabilization of Steer-by-Wire Vehicles With Uncertainties and Disturbances
3359 -- 3372Richelle L. Smith, Masum Hossain, Carl W. Werner, Joseph M. Kahn, Thomas H. Lee. Differential Edge Modulation Signaling for Low-Energy, High-Speed Wireline Communication
3373 -- 3386María Camila Merchán-Riveros, Carolina Albea. Three Time-Scale Singular Perturbation Hybrid Control and Large-Signal Analysis Stability in AC-Microgrids
3387 -- 3398Subhrashree Pritichhanda, Somnath Maity, Jinhua She. A Sensorless Peak Current-Mode Controlled DC-DC Converter: Design and Robustness Analysis Using Time-Scale Decomposition
3399 -- 3408Mahmoud Hassan Kamel Hmada, Basem Abdelaziz Abdelmagid, Ahmed Nader Mohieldin. An Adaptive Fully Integrated Wide-Range Power Management Unit With Fractional Charge Pump for Micro-Scale Energy Harvesting Applications

Volume 70, Issue 7

2637 -- 2650Lin Wang, Yong Chen 0005, Chaowei Yang, Xiaoteng Zhao, Pui-In Mak, Franco Maloberti, Rui Paulo Martins. A 10.8-to-37.4 Gb/s Reference-Less FD-Less Single-Loop Quarter-Rate Bang-Bang Clock and Data Recovery Employing Deliberate-Current- Mismatch Wide-Frequency-Acquisition Technique
2651 -- 2664Yixi Tang, Wenjie Feng 0002, Xinyu Zhou 0001, Weiwei Wang, Liming Gu, Quan Xue, Wenquan Che. -1 Continuous-Mode Technology
2665 -- 2678Christian Hoyer, Lucas Wetzel, Dimitrios A. Prousalis, Jens Wagner, Frank Jülicher, Frank Ellinger. Entrainment of Mutually Synchronized Spatially Distributed 24 GHz Oscillators
2679 -- 2688Albi Mema, Simon Thomann, Paul R. Genssler, Hussam Amrouch. FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation
2689 -- 2699Bayartulga Ishdorj, Doyeon Kim, Seongmin Ahn, Taehui Na. Offset-Canceling Current-Latched Sense Amplifier With Slow Rise Time Control and Reference Voltage Biasing Techniques
2700 -- 2710Michael Pietzko, Jonathan Ungethüm, John G. Kauffman, Maurits Ortmanns. Delay Error Shaping in ΔΣ Modulators Using Time-Interleaved High Resolution Quantizers
2711 -- 2721Yanjin Lyu, Yuanqi Hu. A Matching Strategy Based on Full-Permutation Bisection for Data Converters
2722 -- 2733Alexander Petrie, Yixin Song, Whitney Kinnison, Yong Qu, Kent D. Layton, Shiuh-Hua Wood Chiang. A 0.2-V 10-Bit 5-kHz SAR ADC With Dynamic Bulk Biasing and Ultra-Low-Supply-Voltage Comparator
2734 -- 2743Yoonjae Choi, Hyunsu Park, Jonghyuck Choi, Jincheol Sim, Youngwook Kwon, Seungwoo Park, Changmin Sim, Chulwoo Kim. A 4-GHz Ring-Oscillator-Based Digital Sub-Sampling PLL With Energy-Efficient Dual-Domain Phase Detector
2744 -- 2751Benjamin Coquillas, Eric Kerhervé, Anne-Charlotte Amiaud, Samuel Redois, Laurent Roussel, Bruno Louis, Thomas Merlet, Vincent Petit. Ku Band SiGe Power Amplifier With High Output Power and SWR Robustness Up to 120 °C
2752 -- 2761Liying Cai, Xiong Song, Zhenghao Lu, Xiao-peng Yu, Kiat Seng Yeo, Jer-Ming Chen, Bharatha Kumar Thangarasu. A Linear-in-Decibel Automatic Gain Control Amplifier With Dual Mode Continuous Gain Tuning
2762 -- 2773Yiming Chen, Guodong Yin, Mufeng Zhou, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, Xueqing Li. SAMBA: Single-ADC Multi-Bit Accumulation Compute-in-Memory Using Nonlinearity- Compensated Fully Parallel Analog Adder Tree
2774 -- 2787Weimin Shi, Xiaolong Li, Yong Gao, Chunyu Hu, Zhijiang Dai, Jingzhou Pang, Mingyu Li. Load Mismatch Compensation of Doherty Power Amplifier Using Dual-Input and Mode Reconfiguration Techniques
2788 -- 2801Mohammad Elmi, Martin Lee, Kambiz Moez. An Ultra-Low-Power Non-Uniform Derivative-Based Sampling Scheme With Tunable Accuracy
2802 -- 2813Tenghao Zou, Hao Xu 0005, Yizhuo Wang, Weitian Liu, Tingting Han, Mi Tian, WeiQiang Zhu, Na Yan. A 6-12 GHz Wideband Low-Noise Amplifier With 0.8-1.5 dB NF and ±0.75 dB Ripple Enabled by the Capacitor Assisting Triple-Winding Transformer
2814 -- 2822Feng Wei 0003, Xue-Zhi Ding, Xinxin Liu, Bo Liu, Zhao Li, Xi-Bei Zhao. Balanced Filtering Phase Shifters With Low Phase Deviation and High Common-Mode Suppression
2823 -- 2833Nikita Mirchandani, Aatmesh Shrivastava. A 254-nW 20-kHz On-Chip RC Oscillator With 21-ppm/°C Minimum Temperature Stability and 10-ppm Long Term Stability
2834 -- 2843Xiangyu Li, Pengjun Wang, Gang Li, Yuejun Zhang. Design of a Novel Self-Test-on-Chip Interface ASIC for Capacitive Accelerometers
2844 -- 2857Han-Sol Lee, Kyeongho Eom, Minju Park, Seung-Beom Ku, Kwonhong Lee, Taewoo Kim, Taekyung Kim, Young-Min Shon, Hangue Park, Hyung-Min Lee. A Multi-Channel Neural Recording System With Neural Spike Scan and Adaptive Electrode Selection for High-Density Neural Interface
2858 -- 2867Dawit Burusie Abdi, Shairfe Muhammad Salahuddin, Jürgen Bömmels, Edouard Giacomin, Pieter Weckx, Julien Ryckaert, Geert Hellings, Francky Catthoor. 3D SRAM Macro Design in 3D Nanofabric Process Technology
2868 -- 2880Dong Jiang, Xiangrui Wang, Zhanhong Huang, Yongkui Yang, Enyi Yao. A Network-on-Chip-Based Annealing Processing Architecture for Large-Scale Fully Connected Ising Model
2881 -- 2890Yunsong Deng, Zenghong Huang, Yijin Jia, Yong Xu 0003, Peng Shi 0001. Distributed Estimation and Smoothing for Linear Dynamic Systems Over Sensor Networks
2891 -- 2903Shubham Kumar, Swetaki Chatterjee, Simon Thomann, Yogesh Singh Chauhan, Hussam Amrouch. Cross-Layer Reliability Modeling of Dual-Port FeFET: Device-Algorithm Interaction
2904 -- 2915Amin Faraji, Sayed Alireza Sadrossadat, Weicong Na, Feng Feng, Qi-Jun Zhang. A New Macromodeling Method Based on Deep Gated Recurrent Unit Regularized With Gaussian Dropout for Nonlinear Circuits
2916 -- 2928Qinghui Hong, Shen Man, Jingru Sun, Sichun Du, Jiliang Zhang 0002. Programmable In-Memory Computing Circuit for Solving Combinatorial Matrix Operation in One Step
2929 -- 2942Yuval Bistritz. Routh Zero Location Tests Unhampered by Nonessential Singularities
2943 -- 2956Shuai Mao, Minglei Yang 0004, Wen Yang 0002, Yang Tang, Wei Xing Zheng 0001, Juping Gu, Herbert Werner. Differentially Private Distributed Optimization With an Event-Triggered Mechanism
2957 -- 2967Ye Cao, Zhixi Shen, Jianfu Cao, Danyong Li, Yongduan Song. Prescribed Time Recovery From State Constraint Violation via Approximation-Free Control Approach
2968 -- 2981Kui Ding, Quanxin Zhu, Wei Xing Zheng 0001. Disturbance-Observer-Based Finite-Time Antidisturbance Control for Markov Switched Descriptor Systems With Multi-Disturbances and Intermittent Measurements
2982 -- 2994Yuxiang Hu, Xuewu Dai, Yunkai Wu, Bin Jiang 0001, Dongliang Cui, Zhian Jia. Robust Fault Estimation and Fault-Tolerant Control for Discrete-Time Systems Subject to Periodic Disturbances
2995 -- 3004Xiehuan Li, Dan Ye 0001. Security-Based Event-Triggered Fuzzy Control for Multiarea Power Systems Under Cross-Layer DoS Attacks
3005 -- 3016Yunlong Wang, Yongfu Wang, Xiangman Song, Zhongchao Liang. Finite-Time Adaptive Neural Network Observer-Based Output Voltage-Tracking Control for DC-DC Boost Converters
3017 -- 3028Frida Strömbeck, Yu Yan, Herbert Zirath. A Beyond 100-Gbps Polymer Microwave Fiber Communication Link at D-Band
3029 -- 3039Seungsik Moon, Namyoon Lee, Youngjoo Lee. A Scalable Precoding Processor for Large-Scale MU-MIMO Systems
3040 -- 3048Tsung-Heng Tsai, Tsung-Wen Sun, Kuan-Yu Liao, Chia-Chan Chang. A 180 nA Quiescent Current Digital Control Dual-Mode Buck Converter With a Pulse-Skipping Load Detector for Long-Range Applications
3049 -- 3062Wenyu Peng, Sijun Du. The Advances in Conversion Techniques in Triboelectric Energy Harvesting: A Review

Volume 70, Issue 6

2229 -- 2242Maurício Banaszeski da Silva, Gilson I. Wirth, Hans P. Tuinhout, Adrie Zegers-van Duijnhoven, Andries J. Scholten. Random Telegraph Noise in Analog CMOS Circuits
2243 -- 2256Mohammad Oveisi, Huan Wang 0008, Payam Heydari. A Study of a Millimeter-Wave Transmitter Architecture Realizing QAM Directly in RF Domain
2257 -- 2270Chi-Wei Huang, Chin-Kai Lai, Chung-Chih Hung, Chung-Yu Wu, Ming-Dou Ker. A CMOS Synchronized Sample-and-Hold Artifact Blanking Analog Front-End Local Field Potential Acquisition Unit With ±3.6-V Stimulation Artifact Tolerance and Monopolar Electrode-Tissue Impedance Measurement Circuit for Closed-Loop Deep Brain Stimulation SoCs
2271 -- 2282Tuomin Tao, Hanzhi Ma, Da Li, Yan Li 0081, Shurun Tan, En-Xiao Liu, José E. Schutt-Ainé, Er-Ping Li 0001. Modeling and Analysis of Spike Signal Sequence for Memristor Crossbar Array in Neuromorphic Chips
2283 -- 2292Andrea Ballo, Alfio Dario Grasso, Gaetano Palumbo. Very-Low-Voltage Charge Pump Topologies for IoT Applications
2293 -- 2305Xin Xin 0005, Linxiao Shen, Xiyuan Tang, Yi Shen 0007, Jueping Cai, Xingyuan Tong, Nan Sun. A Power-Efficient 13-Tap FIR Filter and an IIR Filter Embedded in a 10-Bit SAR ADC
2306 -- 2316Fabricio Alcalde Bessia, Troy D. England, Hongzhi Sun, Leandro Stefanazzi, Davide Braga, Miguel Sofo Haro, Shaorui Li, Juan Estrada, Farah Fahim. A Sub-Electron-Noise Multi-Channel Cryogenic Skipper-CCD Readout ASIC
2317 -- 2330Keisuke Kawahara, Yohtaro Umeda, Kyoya Takano, Shinsuke Hara. ° From DC to 8 GHz
2331 -- 2341Junwei Sun, Jianling Yang, Yanfeng Wang, Peng Liu 0038, Yin Sheng. Generalization and Differentiation Circuit Design Based on Memristor Under Different Emotional Conditions
2342 -- 2355Yi-Ta Chen, Yu-Chuan Chuang, Li-Sheng Chang, An-Yeu Wu. S-QRD-ELM: Scalable QR-Decomposition-Based Extreme Learning Machine Engine Supporting Online Class-Incremental Learning for ECG-Based User Identification
2356 -- 2369Liu Liu, Ann Franchesca Laguna, Ramin Rajaei, Mohammad Mehdi Sharifi, Arman Kazemi, Xunzhao Yin, Michael T. Niemier, Xiaobo Sharon Hu. A Reconfigurable FeFET Content Addressable Memory for Multi-State Hamming Distance
2370 -- 2383Weiwei Wu, Fengbin Tu, Mengqi Niu, Zhiheng Yue, Leibo Liu, Shaojun Wei, Xiangyu Li, Yang Hu 0001, Shouyi Yin. STAR: An STGCN ARchitecture for Skeleton-Based Human Action Recognition
2384 -- 2397Siyu Zhang, Wendong Mao, Zhongfeng Wang 0001. An Efficient Accelerator Based on Lightweight Deformable 3D-CNN for Video Super-Resolution
2398 -- 2411Wenjun Tang, Mingyen Lee, Juejian Wu, Yixin Xu, Yao Yu, Yongpan Liu, Kai Ni 0004, Yu Wang 0002, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li. FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge
2412 -- 2424Bi-Wu, Haonan Zhu, Ke Chen 0018, Chenggang Yan 0002, Weiqiang Liu 0001. MLiM: High-Performance Magnetic Logic in-Memory Scheme With Unipolar Switching SOT-MRAM
2425 -- 2438Mahidhar Puligunta, Hayssam El-Razouk. m) GNB Arithmetic Operators
2439 -- 2449Zhuoying Zhao, Ziling Tan, Pinghui Mo, Xiaonan Wang, Dan Zhao, Xin Zhang 0055, Ming Tao, Jie Liu. A Heterogeneous Parallel Non-von Neumann Architecture System for Accurate and Efficient Machine Learning Molecular Dynamics
2450 -- 2463Gianmarco Ottavi, Angelo Garofalo, Giuseppe Tagliavini, Francesco Conti 0001, Alfio Di Mauro, Luca Benini, Davide Rossi. Dustin: A 16-Cores Parallel Ultra-Low-Power Cluster With 2b-to-32b Fully Flexible Bit-Precision and Vector Lockstep Execution Mode
2464 -- 2477Maxime Schramme, David Bol. UFBBR: A Unified Frequency and Back-Bias Regulation Unit for Ultralow-Power Microcontrollers in 28-nm FDSOI
2478 -- 2486Guodao Zhang, Yisu Ge, Haojie Xu, Abdulilah Mohammad Mayet, Yanjie Lu, Mingtao Ye, Ehsan Nazemi. Efficient Implementation of Spontaneous Calcium Oscillations in the Central Nervous System on Reconfigurable Digital Boards
2487 -- 2496Donghyuk Kim, Sanghyun Jeong, Joo-Young Kim 0001. Agamotto: A Performance Optimization Framework for CNN Accelerator With Row Stationary Dataflow
2497 -- 2510Michael R. Wasef, Nader Rafla 0001. SoC Reconfigurable Architecture for Implementing Software Trained Recurrent Neural Networks on FPGA
2511 -- 2522Pengbo Liu, Xingyuan Wang 0001, Yining Su, Huipeng Liu, Salahuddin Unar. Globally Coupled Private Image Encryption Algorithm Based on Infinite Interval Spatiotemporal Chaotic System
2523 -- 2536Huihong Shi, Haoran You, Zhongfeng Wang 0001, Yingyan Lin. NASA+: Neural Architecture Search and Acceleration for Multiplication-Reduced Hybrid Networks
2537 -- 2549Yingqing Pei, Ye Tao, Haibo Gu, Jinhu Lü. Distributed Nash Equilibrium Seeking for Aggregative Games With Quantization Constraints
2550 -- 2560Deyuan Liu, Hao Liu 0004, Jinhu Lü, Frank L. Lewis. Time-Varying Formation of Heterogeneous Multiagent Systems via Reinforcement Learning Subject to Switching Topologies
2561 -- 2572Zepeng Ning, Xunyuan Yin, Yang Shi 0001. Quantization-Uncertainty-Dependent Analysis and Control of Linear Systems With Multi-Input-Multi-Output Quantization
2573 -- 2586Adeel Arif, Hesheng Wang 0001, Herman Castañeda, Yong Wang. Finite-Time Tracking of Moving Platform With Single Camera for Quadrotor Autonomous Landing
2587 -- 2598Hao Li, Changchun Hua, Kuo Li, Qidong Li. Finite-Time Control of High-Order Nonlinear Random Systems Using State Triggering Signals
2599 -- 2611Lin Chen, Yilin Wang, Jing Zhao 0010, Shihong Ding, Jinwu Gao, Hong Chen 0003. A Novel Adaptive Control Scheme for Automotive Electronic Throttle Based on Extremum Seeking
2612 -- 2624Yi Wu, Kaixue Ma. Synthesis Design of Multiband Bandpass Filters Employing Multimode Bandstop Resonators With Star-Like Topology
2625 -- 2634Xiaoxuan Ji, Peng Zhao, Haoyu Wang, Hengzhao Yang, Minfan Fu. Multiple-Receiver Inductive Power Transfer System Based on Multiple-Coil Power Relay Module

Volume 70, Issue 5

1821 -- 1834Kejian Shi, Asad A. Abidi, Hooman Darabi. Second-Order Transimpedance Amplifiers in Mixer-First Receivers: Design for Optimum Blocker Tolerance
1835 -- 1845Jiahao Song, Xiyuan Tang, Xin Qiao, Yuan Wang 0001, Runsheng Wang, Ru Huang. A 28 nm 16 Kb Bit-Scalable Charge-Domain Transpose 6T SRAM In-Memory Computing Macro
1846 -- 1855Geon-Ho Park, Joon Hyung Kim, Chul Soon Park. Low-Power Decibel-Linear Programmable-Gain Amplifier With Complementary Current-Switching Technique
1856 -- 1867Yushen Fu, Chengyu Huang, Longqiang Lai, Nan Sun, Xueqing Li, Huazhong Yang. A 16-Bit 4.0-GS/s Calibration-Free 65 nm DAC Achieving >70 dBc SFDR and < -80 dBc IM3 Up to 1 GHz With Enhanced Constant-Switching-Activity Data-Weighted-Averaging
1868 -- 1881Yutong Zhang, Zhigang Zeng. Neuromorphic Circuit Implementation of Operant Conditioning Based on Emotion Generation and Modulation
1882 -- 1892Rafal Kleczek, Piotr Kmon, Piotr Maj, Robert Szczygiel, Miroslaw Zoladz, Pawel Grybos. - rms Offset Spread With Charge Sensitive Amplifier Active Feedback Discharge
1893 -- 1906Mengting Yan, Hussein M. E. Hussein, Cristian Cassella, Matteo Rinaldi, Marvin Onabajo. Design and Analysis of an On-Chip Current-Driven CMOS Parametric Frequency Divider
1907 -- 1916Jincheol Sim, Hyunsu Park, Yoonjae Choi, Jonghyuck Choi, Youngwook Kwon, Chulwoo Kim. PAM-4 Receiver With 1-Tap DFE Using Clocked Comparator Offset Instead of Threshold Voltages for Improved LSB BER Performance
1917 -- 1927Zhen Gu, Xiaojun Bi 0003. 28 Gbaud PAM-4 Burst-Mode CDR With Reconfigurable Sampling Scheme
1928 -- 1939Shuang Liu, Jingzhou Pang, Ruibin Gao, Tingting Yao, Tianfu Cai, Mingyu Li, Weimin Shi, Zhijiang Dai. Triple-Mode Reciprocal Doherty Power Amplifier With Multi-Band Operation and Extended High Efficiency Range
1940 -- 1948Yuhua Liang, Jinyu Ren, Li Chen, Haotian Lan, Jiajun Song, Shida Song, Zhangming Zhu. A Reconfigurable 12-to-18-Bit Dynamic Zoom ADC With Pole-Optimized Technique
1949 -- 1962Nanda K. Unnikrishnan, Keshab K. Parhi. InterGrad: Energy-Efficient Training of Convolutional Neural Networks via Interleaved Gradient Scheduling
1963 -- 1975Shanshan Liu, Pedro Reviriego, Anees Ullah, Ahmed Louri, Fabrizio Lombardi. Error-Resilient Data Compression With Tunstall Codes
1976 -- 1988Rémi Garcia 0002, Anastasia Volkova. Toward the Multiple Constant Multiplication at Minimal Hardware Cost
1989 -- 2002Hassan Afzali-Kusha, Massoud Pedram. X-NVDLA: Runtime Accuracy Configurable NVDLA Based on Applying Voltage Overscaling to Computing and Memory Units
2003 -- 2015Zhen Gao 0005, Jiajun Xiao, Qiang Liu 0011, Anees Ullah, Pedro Reviriego. A Methodology for the Design of Fault Tolerant Parallel Digital Channelizers on SRAM-FPGAs
2016 -- 2027Yuzong Chen, Junjie Mu, Hyunjoon Kim, Lu Lu 0013, Tony Tae-Hyoung Kim. BP-SCIM: A Reconfigurable 8T SRAM Macro for Bit-Parallel Searching and Computing In-Memory
2028 -- 2036Aurélien Alacchi, Edouard Giacomin, Scott Temple, Roman Gauchi, Michael J. Wirthlin, Pierre-Emmanuel Gaillardon. Low Latency SEU Detection in FPGA CRAM With In-Memory ECC Checking
2037 -- 2047Erfan Abbasian, Sobhan Sofimowloodi. Energy-Efficient Single-Ended Read/Write 10T Near-Threshold SRAM
2048 -- 2061Yanan Sun 0003, Zhi Li, Weiyi Liu, Weifeng He, Qin Wang 0009, Zhigang Mao. BC-MVLiM: A Binary-Compatible Multi-Valued Logic-in-Memory Based on Memristive Crossbars
2062 -- 2073Yuqin Dou, Chenghua Wang, Roger F. Woods, Weiqiang Liu 0001. ENAP: An Efficient Number-Aware Pruning Framework for Design Space Exploration of Approximate Configurations
2074 -- 2084Janak Sharda, Wantong Li, Qiucheng Wu, Shiyu Chang, Shimeng Yu. Temporal Frame Filtering for Autonomous Driving Using 3D-Stacked Global Shutter CIS With IWO Buffer Memory and Near-Pixel Compute
2085 -- 2097Sungsoo Cheon, Kyeongho Lee, Jongsun Park 0001. A 2941-TOPS/W Charge-Domain 10T SRAM Compute-in-Memory for Ternary Neural Network
2098 -- 2105Navneet Sharma, Pravindra Kumar, Shireesh Kumar Rai. A Novel Method for Authentication Using Chaotic Behaviour of Chua's Oscillator in (n,k) Secret Shared Data Scheme for Secure Communication
2106 -- 2119Mostafizur Rahaman Laskar, Amit Kumar Dutta. A Complexity-Efficient Quantum Architecture and Simulation for Eigen Spectrum Estimation of Vandermonde System in a Large Antenna Array
2120 -- 2132Bo Xu 0021, Yuan-Xin Li 0001, Zhongsheng Hou, Choon Ki Ahn. Dynamic Event-Triggered Reinforcement Learning-Based Consensus Tracking of Nonlinear Multi-Agent Systems
2133 -- 2142Keqi Mei, Chunjiang Qian, Shihong Ding. Design of Adaptive SOSM Controller Subject to Disturbances With Unknown Magnitudes
2143 -- 2155Shuqi Chen, Daniel Wing Cheong Ho. Edge-Based Sender-Receiver Event-Triggered Schemes for Distributed Filtering
2156 -- 2166Tong Liu, Zhongsheng Hou. Model-Free Adaptive Containment Control for Unknown Multi-Input Multi-Output Nonlinear MASs With Output Saturation
2167 -- 2178Yukan Zheng, Yuan-Xin Li 0001, Choon Ki Ahn. Adaptive Synchronization for Networked Parabolic PDE Systems With Uncertain Nonlinear Actuator Dynamics
2179 -- 2188Thakshanth Uthayakumar, Abubaker Abdelhafiz, Xianbin Wang 0001, Ming Jian. Scalable Single-Input Behavioral Modeling Architecture for MIMO Systems With Crosstalk
2189 -- 2200Yelzhas Zhaksylyk, Ulrik Hanke, Mehdi Azadmehr. Single-Sided Interspiraled Inductive Impedance Matching for Magnetic Resonance Wireless Power Transfer
2201 -- 2214Bo Zhang 0068, Chunxia Dou, Dong Yue, Ju H. Park 0001, Yusheng Xue, Zhanqiang Zhang, Yudi Zhang 0004, Xiaohua Ding. Event-Triggered Hierarchical Multi-Mode Management Strategy for Source-Load-Storage in Microgrids
2215 -- 2227Yao Qin, Xin-ming, Zhiyi Lin, Zikai Ye, Jiawei Shi, Chunwang Zhuang, Zhaoji Li, Bo Zhang 0031. A 50-V 50-MHz High-Noise-Immunity Capacitive-Coupled Level Shifter With Digital Noise Blanker for GaN Drivers

Volume 70, Issue 4

1429 -- 1438Jialin Liu, David J. Allstot. Compressed Sensing Σ-Δ Modulators and a Recovery Algorithm for Multi-Channel Wireless Bio-Signal Acquisition
1439 -- 1449Orazio Aiello, Paolo Stefano Crovetti, Massimo Alioto. Capacitance-to-Digital Converter for Harvested Systems Down to 0.3 V With No Trimming, Reference, and Voltage Regulation
1450 -- 1462Xu Yan, Jingyuan Zhang, Haorui Luo, Si-Ping Gao, Yongxin Guo. A Compact 1.0-12.5-GHz LNA MMIC With 1.5-dB NF Based on Multiple Resistive Feedback in 0.15-μm GaAs pHEMT Technology
1463 -- 1475Yunbo Huang, Yong Chen 0005, Bo Zhao 0003, Pui-In Mak, Rui Paulo Martins. RMS Jitter, -260.2-dB FOM, and -70.96-dBc Reference Spur
1476 -- 1488Xu Yan, Haorui Luo, Jingyuan Zhang, Si-Ping Gao, Yongxin Guo. A 9-to-42-GHz High-Gain Low-Noise Amplifier Using Coupled Interstage Feedback in 0.15-μm GaAs pHEMT Technology
1489 -- 1502Yaolong Hu, Taiyun Chi. A Systematic Approach to Designing Broadband Millimeter-Wave Cascode Common-Source With Inductive Degeneration Low Noise Amplifiers
1503 -- 1516Jingwen Han, Kaixue Ma, Ningning Yan. A Low Phase Noise Oscillator Employing Weakly Coupled Cavities Using SISL Technology
1517 -- 1530Ehsan Khodarahmi, Mohammad Elmi, Igor M. Filanovsky, Kambiz Moez. A 16.5-31 GHz Area-Efficient Tapered Tunable Transmission Line Phase Shifter
1531 -- 1541Longjie Zhong, Shubin Liu, Donglai Xu, Zhangming Zhu. A 63 μg/√Hz Noise Floor and 14 pJ Power Efficiency Open-Loop MEMS Capacitive Accelerometer Using Closed-Loop Hybrid Dynamic Amplifier
1542 -- 1554Chunyu Hu, Rongxing Yang, Weimin Shi, Li Li, Ruibin Gao, Zhijiang Dai, Jingzhou Pang, Mingyu Li. Analysis and Design of Broadband Outphasing Power Amplifier Based on Complex Combining Impedance
1555 -- 1565Ahmed Abuelnasr, Mostafa Amer, Mohamed Ali, Ahmad Hassan, Benoit Gosselin, Ahmed Ragab, Yvon Savaria. Delay Mismatch Insensitive Dead Time Generator for High-Voltage Switched-Mode Power Amplifiers
1566 -- 1579Javier Beloso-Legarra, Carlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín. Power-Efficient Single-Stage Class-AB OTA Based on Non-Linear Nested Current Mirrors
1580 -- 1590Hyunjoon Kim, Junjie Mu, Chengshuo Yu, Tony Tae-Hyoung Kim, Bongjin Kim. A 1-16b Reconfigurable 80Kb 7T SRAM-Based Digital Near-Memory Computing Macro for Processing Neural Networks
1591 -- 1604Suchang Kim, Boseon Jang, Jaeyoung Lee, Hyungjoon Bae, Hyejung Jang, In-Cheol Park. A CNN Inference Accelerator on FPGA With Compression and Layer-Chaining Techniques for Style Transfer Applications
1605 -- 1612Binyamin Frankel, Eyal Sarfati, Davide Rossi, Shmuel Wimer. Energy Efficiency of Opportunistic Refreshing for Gain-Cell Embedded DRAM
1613 -- 1624Zixuan Ou, Bing Yu, Wen Bin Ye 0001. An Efficient Algorithm-Hardware Co-Design for Radar-Based Fall Detection With Multi-Branch Convolutions
1625 -- 1638Chaolin Rao, Qing Wu, Pingqiang Zhou, Jingyi Yu, Yuyao Zhang, Xin Lou. An Energy-Efficient Accelerator for Medical Image Reconstruction From Implicit Neural Representation
1639 -- 1648Yan Liu, Yan Li 0084, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng. A Non-Redundant Latch With Key-Node-Upset Obstacle of Beneficial Efficiency for Harsh Environments Applications
1649 -- 1659Ladan Sayadi, Somayeh Timarchi, Akbar Sheikh Akbari. Two Efficient Approximate Unsigned Multipliers by Developing New Configuration for Approximate 4:2 Compressors
1660 -- 1670Jürgen Maier 0002, Andreas Steininger, Robert Najvirt. The Hidden Behavior of a D-Latch
1671 -- 1684Ricardo Riaza. A Comprehensive Framework for the Thévenin-Norton Theorem Using Homogeneous Circuit Models
1685 -- 1695Luolin Xiong, Yang Tang, Chensheng Liu, Shuai Mao, Ke Meng 0001, Zhaoyang Dong, Feng Qian 0004. Meta-Reinforcement Learning-Based Transferable Scheduling Strategy for Energy Management
1696 -- 1709Weizhen Liu, Guangren Duan 0001, Mingzhe Hou. Concurrent Learning Adaptive Command Filtered Backstepping Control for High-Order Strict-Feedback Systems
1710 -- 1719Rui Meng, Changchun Hua, Kuo Li, Pengju Ning. Dynamic Event-Triggered Control for Nonlinear Stochastic Systems With Unknown Measurement Sensitivity
1720 -- 1732Kaile Chen, Wangli He, Wei Xing Zheng 0001, Wenle Zhang, Yang Tang. Minimal Leader Selection in General Linear Multi-Agent Systems With Switching Topologies: Leveraging Submodularity Ratio
1733 -- 1746Shanrong Lin, Xiwei Liu. Passivity and Control for Multiweighted and Directed Fractional-Order Network Systems
1747 -- 1757Changchun Hua, Ruixue Cui, Pengju Ning, Xi Luo. Event-Based Output Feedback Consensus Control for Multiagent Systems With Unknown Non-Identical Control Directions
1758 -- 1771Zhe Jiang, Hazem Beshara, Jerry Lam, Naim Ben Hamida, Calvin Plett. High Speed DMT for 224 Gb/s and Faster Wireline Transmission
1772 -- 1785Mika Pulkkinen, Kari Halonen. Outlooks on Transmitter Energy Efficiency and FOM and a -189.7-dBJ/bit ULP DPPM Transmitter
1786 -- 1794Marian K. Kazimierczuk, Gabriele Maria Lozito, Fabio Corti, Alberto Reatti. Accurate Design of Output Filter for DC-DC PWM Buck Converter and Derived Topologies
1795 -- 1804Xiudeng Wang, Yinshui Xia, Ge Shi 0001, Zhangming Zhu, Huakang Xia, Yidie Ye, Zhidong Chen, Libo Qian, Lianxi Liu. A Clockless Synergistic Hybrid Energy Harvesting Technique With Simultaneous Energy Injection and Sampling for Piezoelectric and Photovoltaic Energy
1805 -- 1816JianWen Cao, Ze-kun Zhou, Yue Shi 0001, Bo Zhang 0031. An Integrated Gate Driver Based on SiC MOSFETs Adaptive Multi-Level Control Technique

Volume 70, Issue 3

993 -- 1005Sudhanva Vasishta, K. R. Raghunandan, Ananth Dodabalapur, T. R. Viswanathan 0001. A Single-Step Subranging Relaxation Oscillator-Based Open-Loop Sigma-Delta ADC
1006 -- 1016Neha Bajpai, Paramita Maity, Manish Shah, Amitava Das, Yogesh Singh Chauhan. An Ultra-Low Noise Figure and Multi-Band Re-Configurable Low Noise Amplifier
1017 -- 1029Mahin Esmaeilzadeh, Yves Audet, Mohamed Ali, Mohamad Sawan. A Low-Offset VCO-Based Time-Domain Comparator Using a Phase Frequency Detector With Reduced Dead and Blind Zones
1030 -- 1042Darshan Shetty, Christoph Steffan, Gerald Holweg, Wolfgang Bösch, Jasmin Grosinger. Ultra-Low-Power Sub-1 V 29 ppm/°C Voltage Reference and Shared-Resistive Current Reference
1043 -- 1056Viet Nguyen, Filippo Schembari, Robert Bogdan Staszewski. Exploring Speed Maximization of Frequency-to-Digital Conversion for Ultra-Low-Voltage VCO-Based ADCs
1057 -- 1070Dawei Mai, Michael Peter Kennedy. Optimized MASH-SR Divider Controller for Fractional-N Frequency Synthesizers
1071 -- 1082Hui-Yang Li, Jin-Xu Xu, Li Gao, Quan Xue, Xiu Yin Zhang. 24-35 GHz Filtering LNA and Filtering Switch Using Compact Mixed Magnetic-Electric Coupling Circuit in 28-nm Bulk CMOS
1083 -- 1096Shubham Yadav, Patrice Abbie D. Legaspi, Mark S. Oude Alink, André B. J. Kokkeler, Bram Nauta. Hardware Implementations for Voice Activity Detection: Trends, Challenges and Outlook
1097 -- 1109Shashank Alevoor, Rakshit Dambe Nayak, Bhushan Talele, Abhishek Ray, Joseph D. Rutkowski, Troy Stockstad, Bertan Bakkaloglu. A 95.2% Efficiency DC-DC Boost Converter Using Peak Current Fast Feedback Control (PFFC) for Improved Load Transient Response
1110 -- 1120Haoyang Shen, Adam Blaq, Deepu John, Barry Cardiff. A Foreground Mismatch and Memory Harmonic Distortion Calibration Algorithm for TIADC
1121 -- 1132Kyeongmin Park, Seunghun Oh, Dongjin Choi, Kyeonghan Shin, Haewan Cho, Franklin Bien. Real-Time External Compensation System With Error Correction Algorithm for High-Resolution Mobile Displays
1133 -- 1146Shaan Sengupta, Matthew L. Johnston. A SiPM-Based Gamma Spectrometer With Field-Programmable Energy Binning for Data-Efficient Isotope Analysis
1147 -- 1160an Yang, Zhilin Jiang, Zheng Huang, Zitong Zhang, Yanfeng Jiang. Double-Ended Superposition Anti-Noise Resistance Monitoring Write Termination Scheme for Reliable Write Operation in STT-MRAM
1161 -- 1174Yi Yang, Mohammad Javad Mirshojaeian Hosseini, Walter Kruger, Robert A. Nawrocki. Modular Modeling of Analog Organic Neuromorphic Circuits: Toward Prototyping of Hardware-Level Spiking Neural Networks
1175 -- 1188Hao-Ran Zhu, Jun Wang, Min Tang. Compact, High Power Capacity, and Low Insertion Loss Millimeter-Wave On-Chip Limiting Filter With GaAs PIN Technology
1189 -- 1201Ping-Hung Yin, Chih-Wen Lu, Jia-Shyang Wang, Yuan-Chang Chien, Cheng-Te Chou, Guo-Dung John Su, Poki Chen. A 216 × 216 Global-Shutter CMOS Image Sensor With Embedded Analog Memory and Automatic Exposure Control for Under-Display Optical Fingerprint Sensing Applications
1202 -- 1213Xiaoping Wu, Zehui Kang, Yihui Wang, Liang Wu 0003. A 53-78 GHz Complementary Push-Push Frequency Doubler With Implicit Dual Resonance for Output Power Combining
1214 -- 1227Huaiyu Liu, Yang Lin, Liang Qi, Yongwei Lou, Guoxing Wang, Yan Liu 0016. Analysis and Design of VCO-Based Neural Front-End With Mixed Domain Level-Crossing for Fast Artifact Recovery
1228 -- 1241Shaojun Wei, Xinhan Lin, Fengbin Tu, Yang Wang 0089, Leibo Liu, Shouyi Yin. Reconfigurability, Why It Matters in AI Tasks Processing: A Survey of Reconfigurable AI Chips
1242 -- 1255Tian Xia, Boran Zhao, Jian Ma, Gelin Fu, Wenzhe Zhao, Nanning Zheng 0001, Pengju Ren. An Energy-and-Area-Efficient CNN Accelerator for Universal Powers-of-Two Quantization
1256 -- 1269Reid Pinkham, Jack Erhardt, Barbara De Salvo, Andrew Berkovich, Zhengya Zhang. ANSA: Adaptive Near-Sensor Architecture for Dynamic DNN Processing in Compact Form Factors
1270 -- 1283Phap Duong-Ngoc, Sunmin Kwon, Donghoon Yoo, Hanho Lee. Area-Efficient Number Theoretic Transform Architecture for Homomorphic Encryption
1284 -- 1297Jiawei Nian, Zongnan Liang, Hongjin Liu, Mengfei Yang. An Efficient Fault-Tolerant Protection Method for L0 BTB
1298 -- 1310Rahul Sharma, Rahul Shrestha, Satinder K. Sharma. Hardware-Efficient and Short Sensing-Time Multicoset-Sampling Based Wideband Spectrum Sensor for Cognitive Radio Network
1311 -- 1323Adrian Kneip, David Bol. A 7T-NDR Dual-Supply 28-nm FD-SOI Ultra-Low Power SRAM With 0.23-nW/kB Sleep Retention and 0.8 pJ/32b Access at 64 MHz With Forward Back Bias
1324 -- 1336Qiang Lai, Zhiqiang Wan, Paul Didier Kamdem Kuate. Generating Grid Multi-Scroll Attractors in Memristive Neural Networks
1337 -- 1349Zhengguo Huang, Mou Chen, Peng Shi 0001. Disturbance Utilization-Based Tracking Control for the Fixed-Wing UAV With Disturbance Estimation
1350 -- 1360Qi Luo, Shuai Liu 0007, Licheng Wang, Engang Tian. Privacy-Preserved Distributed Optimization for Multi-Agent Systems With Antagonistic Interactions
1361 -- 1370Jianhui Wang 0003, Chen Wang, C. L. Philip Chen, Zhi Liu 0001, Chunliang Zhang. Fast Finite-Time Event-Triggered Consensus Control for Uncertain Nonlinear Multiagent Systems With Full-State Constraints
1371 -- 1380Liuliu Zhang, Songsong Liu, Changchun Hua. Distributed Bipartite Containment Control of High-Order Nonlinear Multi-Agent Systems With Time-Varying Powers
1381 -- 1391Peng Shi 0001, Xiao Li, Yingqi Zhang, Jingjing Yan. Event-Triggered Quantized Input-Output Finite-Time Synchronization of Markovian Neural Networks
1392 -- 1402Xiao Cai, Kaibo Shi, Kun She 0001, Shouming Zhong, PooGyeon Park, Oh-Min Kwon 0001, Sheng Han 0002. Secure Aperiodic Sampling Control for Micro-Grids Under Abnormal Deception Cyber Attacks
1403 -- 1416Huizheng Wang, Bingyang Cheng, Xiaosi Tan, Xiaohu You, Chuan Zhang 0001. An Efficient Approximate Expectation Propagation Detector With Block-Diagonal Neumann-Series
1417 -- 1427Dongyun Kam, Byeong Yong Kong, Youngjoo Lee. Low-Latency SCL Polar Decoder Architecture Using Overlapped Pruning Operations

Volume 70, Issue 2

545 -- 555Jin-Xu Xu, Hang Chen, Wenhua Chen, Xiu Yin Zhang. Broadband Doherty Power Amplifier Using Short Ended λ/4 Transmission Lines Based on the Analysis of Negative Characteristic Impedance
556 -- 565Yuan-chun Li, Runze Zhan, Quan Xue. Highly Efficient Filtering Power Amplifier Using Impedance Area-Based Optimization
566 -- 578Ioannis Messaris, Alon Ascoli, Ahmet Samil Demirkol, Ronald Tetzlaff. High Frequency Response of Non-Volatile Memristors
579 -- 592Jonas Borgmans, Pieter Rombouts. The Mismatch Performance of Pseudo Digital Ring Oscillators Used in VCO ADCs: PSRR and CMRR
593 -- 606Basem Abdelaziz Abdelmagid, Mahmoud Hassan Kamel Hmada, Ahmed Nader Mohieldin. An Adaptive Fully Integrated Dual-Output Energy Harvesting System With MPPT and Storage Capability
607 -- 617Davide Manente, Fabio Quadrelli, Fabio Padovan, Matteo Bassi, Andrea Mazzanti, Andrea Bevilacqua. A Compensation and Calibration Technique for Lumped Hybrid Couplers in Integrated Image-Reject Architectures
618 -- 630Michele Caselli, Evgenii Tiurin, Stefano Stanzione, Andrea Boni. An Ultra Low-Power Programmable Voltage Reference for Power-Constrained Electronic Systems
631 -- 641Lisheng Chen, Lang Chen, He Zhu 0003, Roberto Gómez-García, Xi Zhu 0001. A Wideband Balanced Amplifier Using Edge-Coupled Quadrature Couplers in 0.13-μm SiGe HBT Technology
642 -- 654Myungjun Kim, SeongHwan Cho. An Output-Capacitorless Analog LDO Featuring Frequency Compensation of Four-Stage Amplifier
655 -- 666Kuang-Wei Cheng, Sheng-Kai Chang, Bo-Sheng Li, Zhi-Ting Tsai. Design and Analysis of a Resistive Frequency-Locked Oscillator With Long-Term Stability Using Double Chopper Stabilization
667 -- 679Alessandro Fava, Francesco Centurelli, Giuseppe Scotti. A Detailed Model of Cyclostationary Noise in Switched-Resistor Circuits
680 -- 693Zi-Qi Zeng, Jian-Guo Hu, Jing Wu 0009, Qinghua Zhong, De-Ming Wang. A High Precision Analog Temperature Compensated Crystal Oscillator Using a New Temperature Compensated Multiplier
694 -- 704Juan Ignacio Morales, Fernando Chierchie, Pablo Sergio Mandolesi, Eduardo E. Paolini. A Distortion-Free All-Digital Transmitter Based on Noise-Shaped PWM
705 -- 718Marouan Othmani, Noureddine Boulejfen, Matias Turunen, Markus Allén, Fadhel M. Ghannouchi, Mikko Valkama. Parallel Delta-Sigma Modulator-Based Digital Predistortion of Wideband RF Power Amplifiers
719 -- 732Xiao Hu, Jing Tian 0004, Minghao Li, Zhongfeng Wang. AC-PM: An Area-Efficient and Configurable Polynomial Multiplier for Lattice Based Cryptography
733 -- 746Saeideh Alinezhad Chamazcoti, Mohit Gupta, Hyungrock Oh, Timon Evenblij, Francky Catthoor, Manu Perumkunnil Komalan, Gouri Sankar Kar, Arnaud Furnémont. Exploring Pareto-Optimal Hybrid Main Memory Configurations Using Different Emerging Memories
747 -- 758Aikata, Ahmet Can Mert, Malik Imran, Samuel Pagliarini, Sujoy Sinha Roy. KaLi: A Crystal for Post-Quantum Security Using Kyber and Dilithium
759 -- 771Xin-Yu Shih, Yao Chiu, Hsiang-En Wu. Design and Implementation of Decision-Tree (DT) Online Training Hardware Using Divider-Free GI Calculation and Speeding-Up Double-Root Classifier
772 -- 782Han-Gyeol Mun, SeungHyun Moon, Byungjun Kim, Kyeong-Jun Lee, Jae-Yoon Sim. Bottleneck-Stationary Compact Model Accelerator With Reduced Requirement on Memory Bandwidth for Edge Applications
783 -- 796Ofek Gur, Tomer Gross, Davide Bellizia, François-Xavier Standaert, Itamar Levi. An In-Depth Evaluation of Externally Amplified Coupling (EAC) Attacks - A Concrete Threat for Masked Cryptographic Implementations
797 -- 805Jaehyun Park, Sangheon Lee, Hanwool Jeong. Voltage Boosted Fail Detecting Circuit for Selective Write Assist and Cell Current Boosting for High-Density Low-Power SRAM
806 -- 818Sehee Lim, Dong Han Ko, Se Keon Kim, Seong-Ook Jung. Cross-Coupled Ferroelectric FET-Based Ternary Content Addressable Memory With Energy-Efficient Match Line Scheme
819 -- 832Shih Yu Chang, Hsiao-Chun Wu. Theoretical and Algorithmic Study of Inverses of Arbitrary High-Dimensional Multi-Input Multi-Output Linear-Time-Invariant Systems
833 -- 845Vinay Chakravarthi Gogineni, Ramesh Sambangi, Daney Alex, Subrahmanyam Mula, Stefan Werner 0001. Algorithm and Architecture Design of Random Fourier Features-Based Kernel Adaptive Filters
846 -- 859André M. McDonald, Michaël A. van Wyk. Identification of Nonlinear Discrete Systems From Probability Density Sequences
860 -- 871Runan Guo, Shengyuan Xu, Choon Ki Ahn. Dissipative Sliding-Mode Synchronization Control of Uncertain Complex-Valued Inertial Neural Networks: Non-Reduced-Order Strategy
872 -- 883Jafar Shamsi, Maria José Avedillo, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona. Effect of Device Mismatches in Differential Oscillatory Neural Networks
884 -- 896Priyesh Shukla, Shamma Nasrin, Nastaran Darabi, Wilfred Gomes, Amit Ranjan Trivedi. MC-CIM: Compute-in-Memory With Monte-Carlo Dropouts for Bayesian Edge Intelligence
897 -- 909Jian Kang, Ge Guo, Guang-Hong Yang. Distributed Optimization of Uncertain Multiagent Systems With Disturbances and Actuator Faults via Exosystem Observer-Based Output Regulation
910 -- 920Yu Huo, Ding Wang 0001, Junfei Qiao 0001, Menghua Li. Off-Policy Model-Free Learning for Multi-Player Non-Zero-Sum Games With Constrained Inputs
921 -- 930Shaomeng Gu, Jinhui Zhang, Yiran Li. Generalized Variable Gain ADRC for Nonlinear Systems and Its Application to Delta Parallel Manipulators
931 -- 939Hao Wan, Jin Xu 0004, Hao Zhang 0076, Lei Zhu 0009. Wideband-Filtering Switches With Ultra-Wide Stopband Using P-I-N Diodes Loaded on Slotline
940 -- 951Alessio Cortiula, Davide Menin, Andrea Bandiziol, Werner Grollitsch, Roberto Nonis, Pierpaolo Palestri. A Time-Domain Simulation Framework for the Modeling of Jitter in High-Speed Serial Interfaces
952 -- 965Yuxiang Fu, Kai Chen, Wenqing Song, Guoqiang He, Sirui Shen, Huizheng Wang, Chuan Zhang 0001, Li Li 0003. A DSP-Purposed REconfigurable Acceleration Machine (DREAM) for High Energy Efficiency MIMO Signal Processing
966 -- 976Federico Milano. The Frenet Frame as a Generalization of the Park Transform
977 -- 990Eric J. Carlson, Joshua R. Smith 0001. A ±0.5-mV-Minimum-Input DC-DC Converter With Stepwise Adiabatic Gate-Drive and Efficient Timing Control for Thermoelectric Energy Harvesting

Volume 70, Issue 12

4675 -- 4677Weisheng Zhao, Hai Helen Li, Domenico Zito. Outgoing Editorial
4678 -- 0Hai Helen Li. Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2023
4679 -- 4691Lai Wei, Zihao Zheng, Nereo Markulic, Jorge Lagos 0001, Ewout Martens, Rui Paulo Martins, Yan Zhu 0001, Jan Craninckx, Chi-Hang Chan. A 12-bit 1GS/s ADC With Background Distortion and Split-ADC-Like Gain Calibration
4692 -- 4704Nardi Utomo, Boon Chiat Terence Teo, Xian Yang Lim, Venkadasamy Navaneethan, Ziming Liu, Chong Boon Tan, Liter Siek. An 85.1% Peak Efficiency, Low Power Class H Audio Amplifier With Full Class H Operation
4705 -- 4718Jack Kee Yong, Wen Xun Lian, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Gabriel Chong, Nai Shyan Lai, Yong Chen 0005, Pui-In Mak, Rui Paulo Martins. A Fully Integrated CMOS Tri-Band Ambient RF Energy Harvesting System for IoT Devices
4719 -- 4728Caolei Pan, Chenchang Zhan, Rui Paulo Martins, Chi-Seng Lam. A Continuous-Output-Current Buck-Boost Converter Without Right-Half-Plane-Zero (RHPZ)
4729 -- 4741Yue Hu, Yuekai Liu, Xinyu Qin, Yan Liu 0016, Mingqiang Guo, Sai-Weng Sin, Guoxing Wang, Yong Lian 0001, Liang Qi. A Two-Channel Time-Interleaved Continuous-Time Third-Order CIFF-Based Delta-Sigma Modulator
4742 -- 4754Xinwei Yu, Zhi Chen, Siqing Wu, Lulu Liu, Hao Chi, Fan Ye 0001, Junyan Ren. 28-nm CMOS Ultrasound AFE With Split Attenuation for Optimizing Gain-Range, Noise, and Area
4755 -- 4766Chi-Wa U, Cong Liu, Rui Paulo Martins, Chi-Seng Lam. An 1 V Supply, 740 nW, 8.7 ppm/°C Bandgap Voltage Reference With Segmented Curvature Compensation
4767 -- 4780Mingqiang Guo, Liang Qi, Weibing Zhao, Gang Xiao 0001, Rui Paulo Martins, Sai-Weng Sin. A 10b 700 MS/s Single-Channel 1b/Cycle SAR ADC Using a Monotonic-Specific Feedback SAR Logic With Power-Delay-Optimized Unbalanced N/P-MOS Sizing
4781 -- 4792Gaofeng Tan, Xinyu Qin, Yan Liu 0016, Mingqiang Guo, Sai-Weng Sin, Guoxing Wang, Yong Lian 0001, Liang Qi. A 10MHz-BW 85dB-DR CT 0-4 Mash Delta-Sigma Modulator Achieving +5dBFS MSA
4793 -- 4803Yong-Un Jeong, Sungphil Choi, Suhwan Kim, Joo-Hyung Chae. Single-Ended Receiver-Side Crosstalk Cancellation With Independent Gain and Timing Control for Minimum Residual FEXT
4804 -- 4815Hritom Das, Rocco D. Febbo, Sree Nirmillo Biswash Tushar, Nishith N. Chakraborty, Maximilian Liehr, Nathaniel C. Cady, Garrett S. Rose. An Efficient and Accurate Memristive Memory for Array-Based Spiking Neural Networks
4816 -- 4825Satyam Shukla, Utkarsh, Md Azam, Kailash Chandra Ray. An Efficient Fault-Tolerant Instruction Decoder for RISC-V Based Dual-Core Soft-Processors
4826 -- 4839Jongbeom Kim, Hyundong Lee, JongHyun Ko, Bongjun Kim, Taigon Song. 3L: A Practical Implementation of Tri-Transistor Ternary Logic Based on Inkjet-Printed Anti-Ambipolar Transistors and CMOSs of Thin-Film Structure
4840 -- 4853Nima Amirafshar, Ahmad Sadigh Baroughi, Hadi Shahriar Shahhoseini, Nima Taherinejad. Carry Disregard Approximate Multipliers
4854 -- 4864Thang Xuan Pham, Phap Duong-Ngoc, Hanho Lee. An Efficient Unified Polynomial Arithmetic Unit for CRYSTALS-Dilithium
4865 -- 4876Lujie Peng, Junyu Yang, Zhiyi Chen, Longke Yan, Xiben Jiao, Jianbiao Xiao, Liang Zhou, Liang Chang 0002, Yu Long 0005, Jun Zhou 0017. A High Accuracy and Low Power CNN-Based Environmental Sound Classification Processor
4877 -- 4887Leonardo Heitich Brendler, Hervé Lapuyade, Yann Deval, Frédéric Darracq, Frédéric Fauquet, Ricardo Reis 0001, François Rivet. A Proof-of-Concept of a Multiple-Cell Upsets Detection Method for SRAMs in Space Applications
4888 -- 4899Yuxuan Du, Junyi Qian, Zhuo Chen, Weiwei Shan. An All-Digital, 1.92-7.32 mV/LSB, 0.5-2 GS/s Sample Rate, and 0-Latency Prediction Voltage Sensor With Dynamic PVT Calibration for Droop Detection and AVS System
4900 -- 4913Yuan Cao 0003, Wanyi Liu, Yue Zheng, Shuai Chen, Jing Ye 0001, Lei Qian, Chip-Hong Chang. A New Reconfigurable True Random Number Generator and Physical Unclonable Function Unified Chip With On-Chip Auto-Calibration
4914 -- 4927Yifan Gong, Jinshuo Zhang, Xin Liu, Jialin Li, Ying Lei, Zhe Zhang, Chen Yang 0005, Li Geng. A Real-Time and Efficient Optical Flow Tracking Accelerator on FPGA Platform
4928 -- 4937Yangyi Zhang, Xianglong Wang, Gang Shi, Zizhao Peng, Lei Chen, Fengwei An. Anti-Aliasing and Anti-Color-Artifact Demosaicing for High-Resolution CMOS Image Sensor
4938 -- 4948Xiangqu Fu, Qirui Ren, Hao Wu, Feibin Xiang, Qing Luo, Jinshan Yue, Yong Chen 0005, Feng Zhang 0014. 3 ViT: A CIM-Based High-Utilization Architecture With Dynamic Pruning and Two-Way Ping-Pong Macro for Vision Transformer
4949 -- 4961Junseo Lee, Jihwan Park, Seokhun Kim, Hanwool Jeong. Bayesian Learning Automated SRAM Circuit Design for Power and Performance Optimization
4962 -- 4974Nabasmita Phukan, M. Sabarimalai Manikandan, Ram Bilas Pachori. AFibri-Net: A Lightweight Convolution Neural Network Based Atrial Fibrillation Detector
4975 -- 4985Jaejin Kim, Gunmo Koo, Seongmin Lee, Jae Hoon Shim, Kunhee Cho. An Output-Capacitor-Free NMOS Digital LDO Using Gate Driving Strength Modulation and Droop Detector
4986 -- 4998Dao-Han Yao, Tzu-Ning Liu, Makoto Takamiya, Po-Hung Chen. A 6.78-MHz Wireless Power Transfer System With Dual-Output Resonant Current-Mode Regulating Rectifier and Transmission Power Regulation
4999 -- 5008Ya-Ting Hsu, Jia-Rui Huang, Kai-Syun Chang, Chin-Hsiang Liang, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai. An Interleaved Hybrid-Module Step-Up Converter With Reduction of Switching Frequency and Output Ripple
5009 -- 5010Chip-Hong Chang, Pingqiang Zhou, Yuan Cao 0003, Qiang Liu 0011. Guest Editorial Special Issue on the Asian Hardware Oriented Security and Trust Symposium (AsianHOST 2022)
5011 -- 5024Chaohui Xu, Wenye Liu, Yue Zheng, Si Wang, Chip-Hong Chang. An Imperceptible Data Augmentation Based Blackbox Clean-Label Backdoor Attack on Deep Neural Networks
5025 -- 5035Yiqiang Zhao, Shijian Pan, Haocheng Ma, Ya Gao, Xintong Song, Jiaji He, Yier Jin. Side Channel Security Oriented Evaluation and Protection on Hardware Implementations of Kyber
5036 -- 5047Chutitep Woralert, Chen Liu 0001, Zander Blasingame. HARD-Lite: A Lightweight Hardware Anomaly Realtime Detection Framework Targeting Ransomware
5048 -- 5059Pengfei Qiu, Qiang Gao, Chang Liu, Dong-Sheng Wang 0002, Yongqiang Lyu, Xiaoyong Li 0003, Chunlu Wang, Gang Qu 0001. PMU-Spill: A New Side Channel for Transient Execution Attacks
5060 -- 5073Tianyu Chen, Shijie Jia 0001, Yuan Ma, Yuan Cao 0003, Na Lv, Wei Wang 0314, Jing Yang, Jingqiang Lin. A Design of High-Efficiency Coherent Sampling Based TRNG With On-Chip Entropy Assurance
5074 -- 5085Tianming Ni, Qingsong Peng, Jingchang Bian, Liang Yao, Zhengfeng Huang, Aibin Yan, Senling Wang, Xiaoqing Wen. Design of True Random Number Generator Based on Multi-Ring Convergence Oscillator Using Short Pulse Enhanced Randomness
5086 -- 5097Nishanth Basavaraj, Shanthi Pavan. Digital Reconstruction in Continuous-Time Pipelined Analog-to-Digital Converters
5098 -- 5109Daniel Widmann, Markus Grözing, Manfred Berroth. Digital Time-Domain Predistortion of Linear Periodically Time-Varying Effects and Its Application to a 100-GS/s Time-Interleaved CMOS DAC
5110 -- 5123Xi Meng, Haoran Li, Peng Chen 0022, Jun Yin 0001, Pui-In Mak, Rui Paulo Martins. Analysis and Design of a 15.2-to-18.2-GHz Inverse-Class-F VCO With a Balanced Dual-Core Topology Suppressing the Flicker Noise Upconversion
5124 -- 5137Xu Wang, Michael Peter Kennedy. Enhanced Jitter Analysis and Minimization for Digital PLLs With Mid-Rise TDCs and its Impact on Output Phase Noise
5138 -- 5151Yiming Yu, Yanpeng Wu, Pan Tang, Chenxi Zhao, Huihua Liu, Yunqiu Wu, Wen-Yan Yin, Kai Kang. An 18~30 GHz Vector-Sum Phase Shifter With Two-Stage Transformer-Based Hybrid in 130-nm SiGe BiCMOS
5152 -- 5165Cody J. Ellington, Sandeep Hari, Brian A. Floyd. Analysis and Design of Baseband Circuits for Higher-Order Reflection-Mode N-Path Filters
5166 -- 5179Wenjun Tang, Jialong Liu, Chen Sun 0010, Zijie Zheng, Yongpan Liu, Huazhong Yang, Chen Jiang, Kai Ni 0004, Xiao Gong, Xueqing Li. Low-Power and Scalable BEOL-Compatible IGZO TFT eDRAM-Based Charge-Domain Computing
5180 -- 5193Yitong Xiong, Yan Pu, Zhinan Yu, Yao Yao, Xiaozong Huang, Guoqiang Wang. A 2-20-GHz 360° Variable Gain Phase Shifter MMIC With Reverse-Slope Phase Compensation
5194 -- 5207Zixiao Zhang, Dimitra Psychogiou. Incorporating Directionality in Transversal-Resonator-Based Bandpass Filters With Tunable Transfer Function Characteristics
5208 -- 5219Jie Shi, Xiaohu Fang, Changning Wei, Tao Lin, Luyu Zhao, Kwok-Keung Michael Cheng. Design of a Highly Efficient Class-F GaN MMIC Power Amplifier Using a Multi-Function Bias Network and a Harmonic-Isolation L-C Resonator
5220 -- 5228Hiroshi Fuketa, Ippei Akita, Tomohiro Ishikawa, Hanpei Koike, Takahiro Mori. A Cryogenic CMOS Current Integrator and Correlation Double Sampling Circuit for Spin Qubit Readout
5229 -- 5241Kuang-Wei Cheng, Sheng-Kai Chang, Shao-Ting Chang, Si-Lou Li, Yu-Chieh Huang. An 11 pJ/Bit Multichannel OOK/FSK/QPSK Transmitter With Multi-Phase Injection-Locking and Frequency Multiplication Techniques
5242 -- 5253Baijie Zhang, Jiawei Wang, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng. Dominant-Node Theory and Monitoring-Rescue Method for Eliminating Undesired Operating Points in the Self-Biased Reference Generators
5254 -- 5264Myung Gi Lim, Seung Woo Lee. Real-Time Compensation in Organic Light-Emitting Diode Television Displays Using Current Sensing Method With Charge Integrators
5265 -- 5274Kourosh Parsaei, Rasool Keshavarz, Rashid Mirzavand, Negin Shariati. Compact Pixelated Microstrip Forward Broadside Coupler Using Binary Particle Swarm Optimization
5275 -- 5288Gennaro Di Meo, Antonio Giuseppe Maria Strollo, Davide De Caro. Novel Low-Power Floating-Point Divider With Linear Approximation and Minimum Mean Relative Error
5289 -- 5301Mingqiang Huang, Junyi Luo, Chenchen Ding, Zikun Wei, Sixiao Huang, Hao Yu 0001. An Integer-Only and Group-Vector Systolic Accelerator for Efficiently Mapping Vision Transformer on Edge
5302 -- 5315Xin-Yu Shih, Hsiang-En Wu, Ming-Xian Cai. Design and Implementation of Dual-Mode Support Vector Machine (SVM) Trainer and Classifier Chip Architecture for Human Disease Detection Applications
5316 -- 5327Geonhwi Lee, Bomin Joo, Bai-Sun Kong. CMOS Clock-Gated Synchronous Up/Down Counter With High-Speed Local Clock Generation and Compact Toggle Flip-Flop
5328 -- 5338Jiaxuan Cai, Xinmiao Zhang. Low-Complexity Parallel Min-Sum Medium-Density Parity-Check Decoder for McEliece Cryptosystem
5339 -- 5351Hanwen Gong, Hu He 0001, Liyang Pan, Bin Gao 0006, Jianshi Tang, Sining Pan, Jianing Li, Peng Yao, Dabin Wu, He Qian, Huaqiang Wu. An Error-Free 64KB ReRAM-Based nvSRAM Integrated to a Microcontroller Unit Supporting Real-Time Program Storage and Restoration
5352 -- 5365Chong-Qiang Ye, Jian Li 0035, Xiu-Bo Chen, Mianxiong Dong, Kaoru Ota. Measurement-Based Quantum Sealed-Bid Auction
5366 -- 5379Florian Klemme, Hussam Amrouch. Transistor Self-Heating-Aware Synthesis for Reliable Digital Circuit Designs
5380 -- 5393Jiawei Xu 0002, Jiangshan Fan, Baolin Nan, Chen Ding, Lirong Zheng 0001, Zhuo Zou, Yuxiang Huan. ASLog: An Area-Efficient CNN Accelerator for Per-Channel Logarithmic Post-Training Quantization
5394 -- 5407Bing Hua, Xiaosong Wei, Yunhua Wu, Zhiming Chen. Multi-Prior Mixture Distribution and Arithmetic Average Fusion-Based Student's t Filter
5408 -- 5420Yan Yang, Lilian Huang, Nikolay V. Kuznetsov, Qiang Lai. Design and Implementation of Grid-Wing Hidden Chaotic Attractors With Only Stable Equilibria
5421 -- 5432Xiaohui Hu, Chen Peng 0001, Hao Shen 0001, Engang Tian. Extended Dissipative Scalable Control for AC Islanded Microgrids
5433 -- 5441Jingwei Xu, Zhenghong Jin. Extremum-Seeking for PWM-Controlled Double-Integrator System via Nonlinear Small-Gain Approach
5442 -- 5455Tianyi Zhou 0003, Li Dai, Qing Li, Yuanqing Xia. Distributed Economic MPC for Dynamically Coupled Systems With Stochastic Disturbances
5456 -- 5467Liangjie Sun, Wai-Ki Ching, Shiyong Zhu, Jianquan Lu. On Synchronization Design and State Observer Design of (Singular) Boolean Networks
5468 -- 5481Marzieh Hashemipour-Nazari, Yuqing Ren, Kees Goossens, Alexios Balatsoukas-Stimming. Pipelined Architecture for Soft-Decision Iterative Projection Aggregation Decoding for RM Codes
5482 -- 5495Qiaobo Ma, Xiongjie Zhang, Anyang Zhao, Huihua Li, Yang Jiang 0002, Man Kay Law, Makoto Takamiya, Rui Paulo Martins, Pui-In Mak. L Reduction for 12 V/24 V Inputs
5496 -- 5506Oswaldo López-Santos, David A. Zambrano Prada, Hugo Valderrama-Blavi, Angel Cid-Pastor, Luís Vázquez-Seisdedos, Abdelali El Aroudi, Luis Martínez-Salamero. Design of Loss-Free Resistors Terminated at a Generic Nonlinear Static Load
5507 -- 5519Chenkang Xue, Bingruo Gong, Huipeng Xu, Yanzheng Yu, Yong Ding 0003, Wuhua Li, Wanyuan Qu. A 36-55 V Input 0.6-2.5 V Output Bypass-Assist Series-Capacitor Power Converter With 93.1% Peak Efficiency and 1.5 mA-5 A Load Range
5520 -- 5533Amulya Viswambharan, Rachid Errouissi, Mahdi Debouza, Hussain Shareef. Experimental Verification of Disturbance Observer-Based Backstepping Control for DC-DC Boost Converter

Volume 70, Issue 11

4221 -- 4222Xiaojin Zhao, Hailong Jiao, Wei Mao 0002. APCCAS 2022 Guest Editorial Special Issue Based on the 18th Asia Pacific Conference on Circuits and Systems
4223 -- 4234Gang Shi, Xianglong Wang, Yichen Ouyang, Ruoheng Yao, Zhuoyu Chen, Wei Zhang, Lei Chen 0070, Fengwei An. A Spatio-Temporal Video Denoising Co-Processor With Adaptive Codec
4235 -- 4248Shiying Zhang, Fukun Su, Yi Wang, Songping Mai, Kong-Pang Pun, Xian Tang. A Low-Power Keyword Spotting System With High-Order Passive Switched-Capacitor Bandpass Filters for Analog-MFCC Feature Extraction
4249 -- 4259Leyi Chen, Cong Shi 0003, Junxian He, Jianyi Yu, Haibing Wang, Jing Lu, Liyuan Liu, Nanjian Wu, Min Tian. An 8-T Processing-in-Memory SRAM Cell-Based Pixel-Parallel Array Processor for Vision Chips
4260 -- 4270Kangjie Long, Chaolin Rao, Yunxiang He, Zhechen Yuan, Pingqiang Zhou, Jingyi Yu, Xin Lou. Analysis and Design of Precision-Scalable Computation Array for Efficient Neural Radiance Field Rendering
4271 -- 4282Sikai Chen, Mingyang You, Yunqi Yang, Ye Jin, Ziyi Lin, Yihong Li, Leliang Li, Guike Li, Yujun Xie, Zhao Zhang 0004, Binhao Wang, Ningfeng Tang, Faju Liu, Zheyu Fang, Jian Liu 0021, Nanjian Wu, Yong Chen 0005, Liyuan Liu, Ninghua Zhu, Ming Li, Nan Qi. A 50Gb/s CMOS Optical Receiver With Si-Photonics PD for High-Speed Low-Latency Chiplet I/O
4283 -- 4294Chenggang Yan 0002, Xuan Zhao, Tingting Zhang, Jipeng Ge, Chenghua Wang, Weiqiang Liu 0001. Design of High Hardware Efficiency Approximate Floating-Point FFT Processor
4295 -- 4308Jianwen Luo 0004, Xinzhe Liu, Fupeng Chen, Yajun Ha. HRFF: Hierarchical and Recursive Floorplanning Framework for NoC-Based Scalable Multidie FPGAs
4309 -- 4322Subin Kim, Ian Galton. Adaptive Cancellation of Inter-Symbol Interference in High-Speed Continuous-Time DACs
4323 -- 4333Aurojyoti Das, Qiuyang Lin, Sybren Santermans, Lijun Liu, Chris Van Hoof, Georges G. E. Gielen, Nick Van Helleputte. High-Throughput Nanopore-FET Array Readout IC With 5-MHz Bandwidth and Background Offset/Drift Calibration
4334 -- 4345Daniel S. Truesdell, James Boley, Atul Wokhlu, Alain Gravel, David D. Wentzloff, Benton H. Calhoun. Modeling and Design of Cold-Start Charge Pumps for Photovoltaic Energy Harvesters
4346 -- 4356Paul Kaesser, Omar Ismail, Johannes Wagner 0003, Robert F. H. Fischer, Maurits Ortmanns. Frequency-Domain Analysis of Reconfigured Incremental ΔΣ ADCs on the Example of the Exponential Phase
4357 -- 4368Heng Zhang, Sunan He, Xin Lu, Xinjie Guo, Shaodi Wang, Yuan Du, Li Du. SSM-CIM: An Efficient CIM Macro Featuring Single-Step Multi-bit MAC Computation for CNN Edge Inference
4369 -- 4379Junwei Sun, Yuanpeng Xu, Peng Liu 0038, Yanfeng Wang. Memristor-Based Neural Network Circuit of Duple-Reward and Duple-Punishment Operant Conditioning With Time Delay
4380 -- 4393Ming-Liang Wei, Mikail Yayla, Shu-Yin Ho, Jian-Jia Chen, Hussam Amrouch, Chia-Lin Yang. Impact of Non-Volatile Memory Cells on Spiking Neural Network Annealing Machine With In-Situ Synapse Processing
4394 -- 4405Zhenyi Zhang, Maoxuan Yang, Xinlin Geng, Kailei Wang, Qian Xie, Zheng Wang 0050. A 0.0325-mm² 114-to-147-GHz 6-Bit Passive Vector-Modulated Phase Shifter With MN-Embedded Isolated Power Combiner Achieving <3.7° RMS Phase Error in 65-nm CMOS
4406 -- 4417Jie Yang, Baibhab Chatterjee, Mohammad Abu Khater, Mattias Thorsell, Sten E. Gunnarsson, Shreyas Sen. Orthogonal Filter Frequency Followed by LNA Linearity Tuning for Efficient Instinctual GaN Receiver Front-End
4418 -- 4425Marco Cavallaro, Germano Nicollini. An Active Resistor for Ultra Low Power Applications
4426 -- 4434Jingyu Weng, Xiaojun Bi 0003, Zilan Cao, Xiaohong Wang, Qinfen Xu. A Continuously-Tunable Optoelectronic Oscillator With Full Locking Range Utilizing Three Frequency Tuning Mechanisms
4435 -- 4446Shuyuan Ye, Zhili Long, Heng Zhao, Jianzhong Ju, Mian Yao, Xiangqing Li, Xuezhi Zhang. Investigation to Dual-Frequency Direct Digital Synthesis and Resonance Frequency Tracking in Power Ultrasonic Generator
4447 -- 4455Milad Ghanbarpour, Ali Naderi, Behzad Ghanbari, Saeed Haghiri, Arash Ahmadi. Digital Hardware Implementation of Morris-Lecar, Izhikevich, and Hodgkin-Huxley Neuron Models With High Accuracy and Low Resources
4456 -- 4469Wenjie Liu 0001, Zixian Li. Secure and Efficient Two-Party Quantum Scalar Product Protocol With Application to Privacy-Preserving Matrix Multiplication
4470 -- 4477Kuozhong Zhang, Zhimin Zhang 0004, Guang-Ming Tang, Xiaochun Ye. Design of a Compact Superconducting RSFQ Register File
4478 -- 4491Wenzhe Zhao, Qiwei Dang, Tian Xia, Jingming Zhang, Nanning Zheng 0001, Pengju Ren. Optimizing FPGA-Based DNN Accelerator With Shared Exponential Floating-Point Format
4492 -- 4504Hossein Rezaei, Nandana Rajatheva, Matti Latva-aho. High-Throughput Rate-Flexible Combinational Decoders for Multi-Kernel Polar Codes
4505 -- 4515Wenbo Guo 0009, Shuguo Li. Highly-Efficient Hardware Architecture for CRYSTALS-Kyber With a Novel Conflict-Free Memory Access Pattern
4516 -- 4529Morteza Fayazi, Morteza Tavakoli Taba, Ehsan Afshari, Ronald G. Dreslinski. AnGeL: Fully-Automated Analog Circuit Generator Using a Neural Network Assisted Semi-Supervised Learning Approach
4530 -- 4538Mohamad Moner Al Chawa, Daniel Bedau, Ahmet Samil Demirkol, James W. Reiner, Derek Stewart 0002, Michael Grobis, Ronald Tetzlaff. A Compact Model of Threshold Switching Devices for Efficient Circuit Simulations
4539 -- 4548Jinghan Li, Jun Zhao 0002. Bumpless Transfer Control for Switched Linear Systems: A Hierarchical Switching Strategy
4549 -- 4559Bin Zhang, Hui Zhi, José Guadalupe Romero, Luiza Labazanova, Anqing Duan, Xiang Li 0009, David Navarro-Alarcon. Fourier-Based Multi-Agent Formation Control to Track Evolving Closed Boundaries
4560 -- 4569Yan Lei, Yan-Wu Wang, Xiao-Kang Liu 0001, Irinel-Constantin Morarescu. Semi-Global Bounded Output Regulation of Linear Two-Time-Scale Systems With Input Saturation
4570 -- 4580Guoliang Chen 0004, Guixiang Du, Jianwei Xia, Xiangpeng Xie, Ju H. Park 0001. Controller Synthesis of Aperiodic Sampled-Data Networked Control System With Application to Interleaved Flyback Module Integrated Converter
4581 -- 4594Yasuaki Kuroe, Hiroaki Nakanishi, Sayaka Kanata. A Sensitivity Analysis Method for a Class of Cyber-Physical Systems and Its Application to Parameter Optimization
4595 -- 4604Yunzhe Yang, Mo Huang, Sijun Du, Rui Paulo Martins, Yan Lu 0002. A Level Shifter With Almost Full Immunity to Positive dv/dt for Buck Converters
4605 -- 4614Nunzio Spina, Katia Samperi, Antoine Pavlin, Salvatore Pennisi, Giuseppe Palmisano. Fully Integrated Galvanic Isolation Interface in GaN Technology
4615 -- 4626Yitong Li, Timothy C. Green, Yunjie Gu. The Intrinsic Communication in Power Systems: A New Perspective to Understand Synchronization Stability
4627 -- 4640Xuliang Wang, Xiaosen Liu, Wing-Hung Ki. A Self-Clocked and Variation-Tolerant Unified Voltage-and-Frequency Regulator for In-Order Executed Digital Loads
4641 -- 4649Qiang Chen 0006, ManYi Wang, Yurong Nan, Chun Wei. Unknown System Dynamic Estimator-Based Two-Phase Power Reaching Law Control for DC-DC Buck Converter
4650 -- 4659Chenjin Xu, Wei Wang 0148, Wenbo Su, Mingrong Duan, Minqiang Hu. Sensitivity Analysis of Free-Standing Columnar Magnetic Field Energy Harvester for Powering Wireless Monitoring Sensors
4660 -- 4670Peng Xu, Jin Kang, Zhiguo Tong, Peng Cao, Yingjie Wang, Hanyu Shi, Jiawei Xu 0001, Zhiliang Hong. A 0.15-μs/V Buck-Boost Symbol-Power-Tracking Supply Modulator With Dual Auxiliary Current Paths and EPP Scheme for 5G NR Power Amplifiers

Volume 70, Issue 10

3821 -- 3831Rundao Lu, Michael P. Flynn. A Direct Frequency-Interleaving Continuous-Time Bandpass Delta-Sigma ADC
3832 -- 3842Chaitanya Kumar, Shanthi Pavan. Power-Noise Trade-Offs in Continuous-Time Pipelined ADCs and Active Filters
3843 -- 3856Roberto Gómez-García, Li Yang, Mohamed Malki, José Maria Muñoz-Ferreras. Flat-Group-Delay RF Planar Filters With Transmission Zeros Using Transversal Circuits
3857 -- 3866Xiangyu Mao, Yan Lu 0002, Chuang Wang 0004, Rui Paulo Martins. A High-Current Scalable Parallel LDO Scheme With Analog-Digital Merged Control for Small Current-Sharing Mismatch
3867 -- 3878Zehui Kang, Meng Yang, Liang Wu 0003. A 5-mW 30-GHz Quasi-Rotary Traveling-Wave Oscillator With Extrinsic-Q-Enhanced Transmission Line
3879 -- 3887Naci Pekcokguler, Dominique Morche, Andreas Burg, Catherine Dehollain. An Ultra-Low-Power Widely-Tunable Complex Band-Pass Filter for RF Spectrum Sensing
3888 -- 3898Jennifer Hasler. A Programmable Adaptive-Q BPF Circuit
3899 -- 3907Qian Li, Kaijun Song, Xinjun Zou, Yong Fan 0003. Design Method of Reconfigurable N-Way Power Divider Based on Reconfigurable Impedance Matching Network
3908 -- 3919Liang Chang 0002, Siqi Yang, Zhiyuan Chang, Haodong Fan, Junlu Zhou, Jun Zhou 0017. TDPRO: Time-Domain-Based Computing-in Memory Engine for Ultra-Low Power ECG Processor
3920 -- 3929Xiao-Fang Li, Jian Kang Xiao. Dual-Band Bandpass Filter Based on Suspended Coplanar Waveguide-Microstrip Hybrid
3930 -- 3943Dong Wang, Zexue Liu, Yi Tan, Chen Xu, Heyi Li, Haoyun Jiang, Xinyu Bao, Yixiao Wang, Junhua Liu, Huailin Liao. 2 Wideband RF Transceiver in 40-nm CMOS for IoT Micro-Hub Applications
3944 -- 3953Kaili Zhang, Deming Zhang, MingYang Song, Zhipeng Guo, You Wang 0002, Chengzhi Wang, Yue Zhang 0010, Lang Zeng. A Novel 9T1C-SRAM Compute-In-Memory Macro With Count-Less Pulse-Width Modulation Input and ADC-Less Charge-Integration-Count Output
3954 -- 3963Hiroshi Fuketa. Lookup Table-Based Computing-in-Memory Macro Approximating Dot Products Without Multiplications for Energy-Efficient CNN Inference
3964 -- 3977Hongtu Zhang, Yuhao Shu, Qi Deng, Hao Sun, Wenfeng Zhao, Yajun Ha. WDVR-RAM: A 0.25-1.2 V, 2.6-76 POPS/W Charge-Domain In-Memory-Computing Binarized CNN Accelerator for Dynamic AIoT Workloads
3978 -- 3991Quan Cheng, Mingqiang Huang, Changhai Man, Ao Shen, Liuyao Dai, Hao Yu 0001, Masanori Hashimoto. Reliability Exploration of System-on-Chip With Multi-Bit-Width Accelerator for Multi-Precision Deep Neural Networks
3992 -- 4003Víctor Manuel Bautista, Mario Garrido, Marisa López-Vallejo. Serial Butterflies for Non-Power-of-Two FFT Architectures in 5G and Beyond
4004 -- 4014Zeynep Kaya, Mario Garrido. Low-Latency 64-Parallel 4096-Point Memory-Based FFT for 6G
4015 -- 4027Yan Li 0084, Chao Chen, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng. DMBF: Design Metrics Balancing Framework for Soft-Error-Tolerant Digital Circuits Through Bayesian Optimization
4028 -- 4039Congyang Liu, Ziyi Yang, Xin Zhang, Zikai Zhu, Haoming Chu, Yuxiang Huan, Lirong Zheng 0001, Zhuo Zou. A Low-Power Hybrid-Precision Neuromorphic Processor With INT8 Inference and INT16 Online Learning in 40-nm CMOS
4040 -- 4052Raul Murillo 0001, Alberto A. Del Barrio, Guillermo Botella, Christian Pilato. Generating Posit-Based Accelerators With High-Level Synthesis
4053 -- 4066Zhan Zhang, Huawei Chen, Congwei Feng, Susanto Rahardja. Exploiting Symmetries in the Design and Implementation of Polynomial/Trigonometric Beamformers for Uniform Circular Arrays
4067 -- 4079Chengpei Wu, Yang Lou, Lin Wang 0022, Junli Li, Xiang Li 0010, Guanrong Chen. SPP-CNN: An Efficient Framework for Network Robustness Prediction
4080 -- 4091Shidong Zhai, Xin Wang, Wei Xing Zheng 0001. Leaderless Cluster Consensus of Second-Order General Nonlinear Multiagent Systems Under Directed Topology
4092 -- 4102Xudong Wang 0008, Guoqi Wang, Zhe Li, Yaonan Wang 0001. Robust Guaranteed Synchronization for Chaotic Systems With Incremental Quadratic Constraints
4103 -- 4111Shih-Yu Li, Yanwen Gai, Kai-Chung Shih, Chin-Sheng Chen. An Efficient Image Encryption Algorithm Based on Innovative DES Structure and Hyperchaotic Keys
4112 -- 4125Xianghua Wang, Youqing Wang, Ziye Zhang, Xiangrong Wang 0001, Ron Patton. Sensor Fault Tolerant Control for a 3-DOF Helicopter Considering Detectability Loss
4126 -- 4136Kai Zhao, Jinhui Zhang, Peixuan Shu, Xiwang Dong. Composite Nonlinear Extended State Observer-Based Trajectory Tracking Control for Quadrotor Under Input Constraints
4137 -- 4145Zhen Wu, Weizhong Chen, Zhongyang Fei, Kun-Zhi Liu. Finite-Time State Zonotopes Design for Asynchronously Switched Systems With Application to a Switched Converter
4146 -- 4158Ali Moradi Amani, Miquel A. Fiol, Mahdi Jalili, Guanrong Chen, Xinghuo Yu 0001, Lewi Stone. Discovering Important Nodes of Complex Networks Based on Laplacian Spectra
4159 -- 4168Feng Shu 0003, Junyong Zhai. Event-Triggered Prescribed-Time Tracking Control for Nonlinear Systems With Asynchronous Switching
4169 -- 4182Kunmo Kim, Suhong Moon, Jaeduk Han, Elad Alon, Ali M. Niknejad. Precursor ISI Cancellation Sliding-Block DFE for High-Speed Wireline Receivers
4183 -- 4193Qiang Wu, Linjun Wu, Yongyuan Li, Xun Li, Zhixiong Di, Shubin Liu, Zhangming Zhu. A High Precision CV Control Scheme for Low Power AC-DC BUCK Converter Controller
4194 -- 4203Bin Liu, Pinjia Zhang, Geye Lu, Xi Chen 0014. Influence Analysis of Oscillation Harmonics in LCC-HVDC Delivery System Based on Impedance Modeling
4204 -- 4217Bo Zhang 0068, Chunxia Dou, Dong Yue, Ju H. Park 0001, Xiangpeng Xie, Dongmei Yuan, Zhanqiang Zhang. Transmission and Decision-Making Co-Design for Active Support of Region Frequency Regulation Through Distribution Network-Side Resources

Volume 70, Issue 1

1 -- 2Hai Helen Li. MWSCAS Guest Editorial Special Issue Based on the 64th International Midwest Symposium on Circuits and Systems
3 -- 16Haixiang Zhao, Rahul Sarpeshkar, Soumyajit Mandal. A Compact and Power-Efficient Noise Generator for Stochastic Simulations
17 -- 28Meriam Gay Bautista, Patricia Gonzalez-Guerrero, Darren Lyles, George Michelogiannakis. Superconducting Shuttle-Flux Shift Register for Race Logic and Its Applications
29 -- 39Kevin Pelzers, Mariska van der Struijk, Pieter Harpe. A 0.0022 mm² 10 bit 20 MS/s SAR ADC With Passive Single-Ended-to-Differential-Converter
40 -- 53Hendrik Wöhrle, Felix Schneider, Fabian Schlenke, Denis Lebold, Mariela De Lucas Alvarez, Frank Kirchner, Michael Karagounis. Multi-Objective Surrogate-Model-Based Neural Architecture and Physical Design Co-Optimization of Energy Efficient Neural Network Hardware Accelerators
54 -- 63Giacomo Castoro, Simone Mattia Dartizio, Andrea L. Lacaita, Salvatore Levantino. Phase Noise Analysis of Periodically ON/OFF Switched Oscillators
64 -- 73Ehsan Rahiminejad, Adel Parvizi-Fard, Mahmood Amiri, Nitish V. Thakor. A Novel Nociceptor Functional Circuit for Tactile Applications
74 -- 87Mahmood A. Mohammed, Gordon W. Roberts. L-Drivability Range Using Low-Frequency Zeros
88 -- 100Xiayu Wang, Yang Liu 0106, Jin Hu, Dong Li, Rui Ma 0007, Zhangming Zhu. An Analog SiPM Based Receiver With On-Chip Wideband Amplifier Module for Direct ToF LiDAR Applications
101 -- 113Hossein Rahmanian Kooshkaki, Patrick P. Mercier. A 36 μW 2.8-3.4 dB Noise Figure Impedance Boosted and Noise Attenuated LNA for NB-IoT
114 -- 127Peishuo Li, Tom R. Molderez, David H. Villamor, Antonin Prévoteau, Marian Verhelst. A 96-Channel 40nm CMOS Potentiostat for Parallel Experiments on Microbial Electrochemical Systems
128 -- 141Pratik Kumar, Ankita Nandi, Shantanu Chakrabartty, Chetan Singh Thakur. Process, Bias, and Temperature Scalable CMOS Analog Computing Circuits for Machine Learning
142 -- 153Zhangcheng Huang. Noise Model of Large-Format Readout Integrated Circuit for Infrared Focal Plane Array
154 -- 165Óscar Pereira-Rial, Alessandro Cabrini, Guido Torelli, Paula López 0001, Juan M. Carrillo. Ultra-Low-Power Low-Input-Voltage Charge Pump for Micro-Energy Harvesting Applications
166 -- 175Yi Shen 0007, Jian Liu, Chenxi Han, Angyang Li, Shubin Liu, Ruixue Ding, Zhangming Zhu. An Energy-Efficient SAR ADC With a Coarse-Fine Bypass Window Technique
176 -- 189Ruoman Yang, Tony Wu, Tzu-Chien Hsueh. A High-Accuracy Single-Photon Time-Interval Measurement in Mega-Hz Detection Rates With Collaborative Variance Reduction: Theoretical Analysis and Realization Methodology
190 -- 202Mohamed Malki, Li Yang, Roberto Gómez-García. Input-Reflectionless Quasi-Elliptic-Type Single- and Dual-Band Bandpass Filters Based on Passive Channelized Principles
203 -- 213Ismary Lavandera-Hernández, José Raúl Loo-Yau, J. Apolinar Reynoso-Hernández, Daniel Ochoa-Armas, Pablo Moreno Villalobos. Frequency-Dependent Design Spaces for Continuous Mode Class-J*/B/J PA
214 -- 227Yiqi Wang 0005, Fengbin Tu, Leibo Liu, Shaojun Wei, Yuan Xie 0001, Shouyi Yin. SPCIM: Sparsity-Balanced Practical CIM Accelerator With Optimized Spatial-Temporal Multi-Macro Utilization
228 -- 240Tongda Wu, Kaisheng Ma, Jingtong Hu, Jason Xue, Jinyang Li 0002, Xin Shi, Huazhong Yang, Yongpan Liu. Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals
241 -- 252Yifeng Song, Xiao Hu, Jing Tian 0004, Zhongfeng Wang. A High-Speed FPGA-Based Hardware Implementation for Leighton-Micali Signature
253 -- 265Hao Sun, Qi Deng, Xinzhe Liu, Yuhao Shu, Yajun Ha. An Energy-Efficient Stream-Based FPGA Implementation of Feature Extraction Algorithm for LiDAR Point Clouds With Effective Local-Search
266 -- 279Mohd. Tasleem Khan, Hasan Erdem Yantir, Khaled Nabil Salama, Ahmed M. Eltawil. Architectural Trade-Off Analysis for Accelerating LSTM Network Using Radix-r OBC Scheme
280 -- 289Shao-Hong Yang, Tsung-Te Liu. A Highly Stable Physically Unclonable Function Using Algorithm-Based Mismatch Hardening Technique in 28-nm CMOS
290 -- 301Jongkil Park, YeonJoo Jeong, Jaewook Kim, Suyoun Lee, Joon Young Kwak, Jong-Keuk Park, Inho Kim. High Dynamic Range Digital Neuron Core With Time-Embedded Floating-Point Arithmetic
302 -- 314Yongfu Li 0002, Jian Zhao 0004, Yan Liu 0016, Guoxing Wang. A Comprehensive Study on the Design Methodology of Level Shifter Circuits
315 -- 326Prasetiyo, Seongmin Hong, Yashael Faith Arthanto, Joo-Young Kim 0001. Accelerating Deep Convolutional Neural Networks Using Number Theoretic Transform
327 -- 339Pedro Tauã Lopes Pereira, Guilherme Paim, Eduardo Antônio César da Costa, Sérgio Jose Melo de Almeida, Sergio Bampi. ReAdapt: A Reconfigurable Datapath for Runtime Energy-Quality Scalable Adaptive Filters
340 -- 352Xueyong Zhang, Byung-Kwon An, Tony Tae-Hyoung Kim. A Robust Time-Based Multi-Level Sensing Circuit for Resistive Memory
353 -- 363Hongwu Jiang, Shanshi Huang, Wantong Li, Shimeng Yu. ENNA: An Efficient Neural Network Accelerator Design Based on ADC-Free Compute-In-Memory Subarrays
364 -- 377Chenyang Zhao, Jinbei Fang, Jingwen Jiang, Xiaoyong Xue, Xiaoyang Zeng. ARBiS: A Hardware-Efficient SRAM CIM CNN Accelerator With Cyclic-Shift Weight Duplication and Parasitic-Capacitance Charge Sharing for AI Edge Application
378 -- 387Junyao Wu, Chunbiao Li, Yang Xu, Yicheng Jiang, Yuanxiao Xu. A Triode-Based Analog Gate and Its Application in Chaotic Circuits
388 -- 398Zbigniew Galias. Dynamics of the Hénon Map in the Digital Domain
399 -- 412Limin Hao, Guoyong Shi. Realizable Reduction of Multi-Port RCL Networks by Block Elimination
413 -- 423Shidong Zhai, Wei Xing Zheng 0001. Stability Conditions for Cluster Synchronization in Directed Networks of Diffusively Coupled Nonlinear Systems
424 -- 434Jinna Li, Lin Yuan, Tianyou Chai, Frank L. Lewis. Consensus of Nonlinear Multiagent Systems With Uncertainties Using Reinforcement Learning Based Sliding Mode Control
435 -- 446Graziano Chesi, Tiantian Shen. LMI-Based Determination of the Peak of the Response of Structured Polytopic Linear Systems
447 -- 459Xiao-Feng Zhao, Tao Han, Zhi-Hong Guan, Bo Xiao, Huaicheng Yan 0001, Yuan Ge. Hierarchical Prescribed-Time Coordination for Multiple Lagrangian Systems With Input-to-Output Redundancy and Matrix-Weighted Networks
460 -- 471Yunxia Song, Zhao-Yan Li, Bin Zhou 0001. Stability Analysis of Linear Neutral Delay Systems With Two Delays via Augmented Lyapunov-Krasovskii Functionals
472 -- 480Zhuang Liu, Xinpo Lin, Yabin Gao, Ruiqi Xu, Jiahui Wang, Yijie Wang 0002, Jianxing Liu. Fixed-Time Sliding Mode Control for DC/DC Buck Converters With Mismatched Uncertainties
481 -- 491Bin Wang, Ramon Costa-Castelló, Jing Na, Oscar de la Torre, Xavier Escaler. Modeling and Adaptive Parameter Estimation for a Piezoelectric Cantilever Beam
492 -- 505Alán Rodrigo Díaz Rizo, Hassan Aboushady, Haralampos-G. Stratigopoulos. Anti-Piracy Design of RF Transceivers
506 -- 517Fangyu Mao, Yan Lu 0002, Franco Maloberti, Rui Paulo Martins. A Hybrid Single-Inductor Bipolar Triple-Output DC-DC Converter With High-Quality Positive Outputs for AMOLED Displays
518 -- 529Zhichen Li, Yu Zhao, Huaicheng Yan 0001, Hao Zhang 0008, Lu Zeng, Xiaolei Wang. Active Disturbance Rejection Formation Tracking Control for Uncertain Nonlinear Multi-Agent Systems With Switching Topology via Dynamic Event-Triggered Extended State Observer
530 -- 543David A. Zambrano Prada, Abdelali El Aroudi, Luís Vázquez-Seisdedos, Luis Martínez-Salamero. Polynomial Sliding Surfaces to Control a Boost Converter With Constant Power Load