Journal: ACM Trans. Design Autom. Electr. Syst.

Volume 11, Issue 4

797 -- 821Aiqun Cao, Ruibing Lu, Chen Li 0004, Cheng-Kok Koh. Postlayout optimization for synthesis of Domino circuits
822 -- 847André C. Nácul, Tony Givargis. Synthesis of time-constrained multitasking embedded software
848 -- 879Kunhyuk Kang, Bipul C. Paul, Kaushik Roy. Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters
880 -- 889Wu-An Kuo, TingTing Hwang, Allen C.-H. Wu. Decomposition of instruction decoders for low-power designs
890 -- 915Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang. Crosstalk minimization in logic synthesis for PLAs
916 -- 938Sezer Gören, F. Joel Ferguson. Test sequence generation for controller verification and test with high coverage
939 -- 952Zhong-Zhen Wu, Shih-Chieh Chang. Multiple wire reconnections based on implication flow graph
953 -- 973Chi-Shong Wang, Chingwei Yeh. Performance-driven technology mapping with MSG partition and selective gate duplication

Volume 11, Issue 3

535 -- 536Massoud Pedram. Introduction to special issue: Novel paradigms in system-level design
537 -- 563Alessandro Pinto, Alvise Bonivento, Alberto L. Sangiovanni-Vincentelli, Roberto Passerone, Marco Sgroi. System level design paradigms: Platform-based design and communication synthesis
564 -- 592Radu Marculescu, Ümit Y. Ogras, Nicholas H. Zamora. Computation and communication refinement for multiprocessor SoC design: A system-level perspective
593 -- 625Paul Pop, Petru Eles, Zebo Peng, Traian Pop. Analysis and optimization of distributed real-time embedded systems
626 -- 658Prabhat Mishra, Aviral Shrivastava, Nikil Dutt. Architecture description language (ADL)-driven software toolkit generation for architectural exploration of programmable SOCs
659 -- 681Roman L. Lysecky, Greg Stitt, Frank Vahid. Warp Processors
682 -- 710Fei Su, Krishnendu Chakrabarty. Module placement for fault-tolerant microfluidics-based biochips
711 -- 739Narender Hanchate, Nagarajan Ranganathan. A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing
740 -- 772Gang Chen, Jason Cong. Simultaneous placement with clustering and duplication
773 -- 796Sanjukta Bhanja, Karthikeyan Lingasubramanian, N. Ranganathan. A stimulus-free graphical probabilistic switching model for sequential circuits using dynamic bayesian networks

Volume 11, Issue 2

251 -- 281Mauricio Ayala-Rincón, Carlos H. Llanos, Ricardo P. Jacobi, Reiner W. Hartenstein. Prototyping time- and space-efficient computations of algebraic operations over dynamically reconfigurable systems modeled by rewriting-logic
282 -- 305Javed Absar, Francky Catthoor. Reuse analysis of indirectly indexed arrays
306 -- 324Ali Dasdan, Ivan Hom. Handling inverted temperature dependence in static timing analysis
325 -- 345Zhuoyuan Li, Xianlong Hong, Qiang Zhou, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani. Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration
346 -- 361Saravanan Padmanaban, Spyros Tragoudas. Implicit grading of multiple path delay faults
362 -- 386Deming Chen, Jason Cong, Junjuan Xu. Optimal simultaneous module and multivoltage assignment for low power
387 -- 409Haikun Zhu, Chung-Kuan Cheng, Ronald L. Graham. On the construction of zero-deficiency parallel prefix circuits with minimum depth
410 -- 441Mahmut T. Kandemir. Reducing energy consumption of multiprocessor SoC architectures by exploiting memory bank locality
442 -- 464Fei Su, Sule Ozev, Krishnendu Chakrabarty. Concurrent testing of digital microfluidics-based biochips
465 -- 489David Atienza, Jose Manuel Mendias, Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor. Systematic dynamic memory management design methodology for reduced memory footprint
490 -- 500Wei Li, Daniel Blakely, Scott Van Sooy, Keven Dunn, David Kidd, Robert Rogenmoser, Dian Zhou. LVS verification across multiple power domains for a quad-core microprocessor
501 -- 533Jason A. Cheatham, John M. Emmert, Stanley Baumgart. A survey of fault tolerant methodologies for FPGAs

Volume 11, Issue 1

1 -- 2Nikil D. Dutt. Editorial
3 -- 25Tony Givargis. Zero cost indexing for improved processor cache performance
26 -- 43George A. Constantinides. Word-length optimization for differentiable nonlinear systems
44 -- 51Qing Su, Jamil Kawa, Charles Chiang, Yehia Massoud. Accurate modeling of substrate resistive coupling for floating substrates
52 -- 69Azadeh Davoodi, Ankur Srivastava. Effective techniques for the generalized low-power binding problem
70 -- 87Patrick Schaumont, Doris Ching, Ingrid Verbauwhede. An interactive codesign environment for domain-specific coprocessors
88 -- 103Iris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou. Reliable crosstalk-driven interconnect optimization
104 -- 122Dhananjay Kulkarni, Walid A. Najjar, Robert Rinker, Fadi J. Kurdahi. Compile-time area estimation for LUT-based FPGAs
123 -- 146Aviral Shrivastava, Partha Biswas, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau. Compilation framework for code size reduction using reduced bit-width ISAs (rISAs)
147 -- 164Yi-Ping You, Chingren Lee, Jenq Kuen Lee. Compilers for leakage power reduction
165 -- 185Zili Shao, Bin Xiao, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha. Loop scheduling with timing and switching-activity minimization for VLIW DSP
186 -- 212Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi. ILP models for simultaneous energy and transient power minimization during behavioral synthesis
213 -- 227Muhammet Mustafa Ozdal, Martin D. F. Wong. Two-layer bus routing for high-speed printed circuit boards
228 -- 250Mahmut T. Kandemir, J. Ramanujam, Ugur Sezer. Improving the energy behavior of block buffering using compiler optimizations