Journal: ACM Trans. Design Autom. Electr. Syst.

Volume 7, Issue 4

499 -- 500Majid Sarrafzadeh, Rajeev Jayaraman. Guest editorial
501 -- 525Navin Vemuri, Priyank Kalla, Russell Tessier. BDD-based logic synthesis for LUT-based FPGAs
526 -- 546Hongbing Fan, Jiping Liu, Yu-Liang Wu, C. K. Wong. Reduction design for generic universal switch blocks
547 -- 562Andreas Dandalis, Viktor K. Prasanna. Run-time performance optimization of an FPGA-based deduction engine for SAT solvers
563 -- 604Haibo Wang, Sarma B. K. Vrudhula. Behavioral synthesis of field programmable analog array circuits
605 -- 627Ryan Kastner, Adam Kaplan, Seda Ogrenci Memik, Elaheh Bozorgzadeh. Instruction generation for hybrid reconfigurable systems
628 -- 642Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang. Performance-driven placement for dynamically reconfigurable FPGAs
643 -- 663Amit Singh, Ganapathy Parthasarathy, Malgorzata Marek-Sadowska. Efficient circuit clustering for area and power reduction in FPGAs
664 -- 693Shantanu Dutt, Vinay Verma, Hasan Arslan. A search-based bump-and-refit approach to incremental routing for ECO applications in FPGAs

Volume 7, Issue 3

359 -- 379Chung-Wen Albert Tsao, Cheng-Kok Koh. UST/DME: a clock tree router for general skew constraints
380 -- 412Apostolos A. Kountouris, Christophe Wolinski. Efficient scheduling of conditional behaviors for high-level synthesis
413 -- 429Frank Vahid. Partitioning sequential programs for CAD using a three-step approach
430 -- 454Viktor S. Lapinskii, Margarida F. Jacome, Gustavo de Veciana. Cluster assignment for high-performance embedded VLIW processors
455 -- 473Vikram Saxena, Farid N. Najm, Ibrahim N. Hajj. Estimation of state line statistics in sequential circuits
474 -- 498Alexey Glebov, Sergey Gavrilov, David Blaauw, Vladimir Zolotov. False-noise analysis using logic implications

Volume 7, Issue 2

231 -- 248Parthasarathi Dasgupta, Peichen Pan, Subhas C. Nandy, Bhargab B. Bhattacharya. Monotone bipartitioning problem in a planar point set with applications to VLSI
249 -- 264Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Giovanni Squillero. Initializability analysis of synchronous sequential circuits
265 -- 283Ki-Wook Kim, Taewhan Kim, TingTing Hwang, Sung-Mo Kang, C. L. Liu. Logic transformation for low-power synthesis
284 -- 305Russell Tessier. Fast placement approaches for FPGAs
306 -- 335Min Zhao, Sachin S. Sapatnekar. Technology mapping algorithms for domino logic
336 -- 357Guido Araujo, Guilherme Ottoni, Marcelo Silva Cintra. Global array reference allocation

Volume 7, Issue 1

1 -- 32Amit Chowdhary, John P. Hayes. General technology mapping for field-programmable gate arrays based on lookup tables
33 -- 57Maria K. Michael, Spyros Tragoudas. ATPG tools for delay faults at the functional level
58 -- 90Roman L. Lysecky, Frank Vahid. Prefetching for improved bus wrapper performance in cores
91 -- 121Shantanu Dutt, Wenyong Deng. Cluster-aware iterative improvement techniques for partitioning large VLSI circuits
122 -- 136Laurence Goodby, Alex Orailoglu, Paul M. Chau. Microarchitectural synthesis of performance-constrained, low-power VLSI designs
137 -- 158Luís Guerra e Silva, João P. Marques Silva, Luis Miguel Silveira, Karem A. Sakallah. Satisfiability models and algorithms for circuit delay computation
159 -- 172Alain Darte, Robert Schreiber, B. Ramakrishna Rau, Frédéric Vivien. Constructing and exploiting linear schedules with prescribed parallelism
173 -- 188Ashok Jagannathan, Sung-Woo Hur, John Lillis. A fast algorithm for context-aware buffer insertion
189 -- 216Ranga Vemuri, Srinivas Katkoori, Meenakshi Kaul, Jay Roy. An efficient register optimization algorithm for high-level synthesis from hierarchical behavioral specifications
217 -- 230Shi-Zheng Eric Lin, Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai. Optimal time borrowing analysis and timing budgeting optimization for latch-based designs