Journal: IEEE Trans. on Circuits and Systems

Volume 54-I, Issue 9

1869 -- 1878Hoon Kim, Hee-Jun Kim, Won-Sup Chung. Pulsewidth Modulation Circuits Using CMOS OTAs
1879 -- 1890Robert Jon Milliken, José Silva-Martínez, Edgar Sánchez-Sinencio. Full On-Chip CMOS Low-Dropout Voltage Regulator
1891 -- 1899Bahar Jalali Farahani, Mohammed Ismail. Adaptive Noise Cancellation Techniques in Sigma-Delta Analog-to-Digital Converters
1900 -- 1915John Liobe, Martin Margala. Novel Process and Temperature-Stable, IDD Sensor for the BIST Design of Embedded Digital, Analog, and Mixed-Signal Circuits
1916 -- 1928Massimo Alioto, Luca Pancioni, Santina Rocchi, Valerio Vignoli. Power-Delay-Area-Noise Margin Tradeoffs in Positive-Feedback MOS Current-Mode Logic
1929 -- 1937Yongru Gu, Keshab K. Parhi. High-Speed Architecture Design of Tomlinson-Harashima Precoders
1938 -- 1949Haihua Chen, S. C. Chan, K. L. Ho. Adaptive Beamforming Using Frequency Invariant Uniform Concentric Circular Arrays
1950 -- 1959Huawei Chen, Wee Ser, Zhu Liang Yu. Optimal Design of Nearfield Wideband Beamformers Robust Against Errors in Microphone Array Characteristics
1960 -- 1967Ali Davoudi, Juri Jatskevich. Parasitics Realization in State-Space Average-Value Modeling of PWM DC-DC Converters Using an Equal Area Method
1968 -- 1976Gerardo Escobar, Michael Hernandez-Gomez, Panfilo R. Martinez, Misael F. Martinez-Montejano. A Repetitive-Based Controller for a Power Factor Precompensator
1977 -- 1986Feng-Xiang Ge, Dongxu Shen, Yingning Peng, Victor O. K. Li. Super-Resolution Time Delay Estimation in Multipath Environments
1987 -- 2000Sangjin Hong, Jinseok Lee, Akshay Athalye, Petar M. Djuric, We-Duke Cho. Design Methodology for Domain Specific Parameterizable Particle Filter Realizations
2001 -- 2010So-Young Kim, S. Simon Wong. RLC Delay Models Considering Input Rise Time
2011 -- 2018Fredric Lindström, Christian Schüldt, Mikael Långström, Ingvar Claesson. A Method for Reduced Finite Precision Effects in Parallel Filtering Echo Cancellation
2019 -- 2029Antonio Loría, Arturo Zavala-Río. Adaptive Tracking Control of Chaotic Systems With Applications to Synchronization
2030 -- 2043Brian L. Luke, Phillip E. Pace. RSNS-to-Binary Conversion
2044 -- 2053André Neubauer, Markus Hammes. A Digital Receiver Architecture for Bluetooth in 0.25- μm CMOS Technology and Beyond
2054 -- 2060Cuauhtemoc Rodriguez, Gehan A. J. Amaratunga. Analytic Solution to the Photovoltaic Maximum Power Point Problem
2061 -- 2069Li Xu, Qinghe Wu, Zhiping Lin, Yegui Xiao. A New Constructive Procedure for 2-D Coprime Realization in Fornasini-Marchesini Model
2070 -- 2077Shengyuan Xu, James Lam, Xuerong Mao. ∞ Control and Filtering for Uncertain Markovian Jump Systems With Time-Varying Delays
2078 -- 2086Ying Yang, Zhisheng Duan, Lin Huang. Robust Dichotomy Analysis and Synthesis With Application to an Extended Chua's Circuit
2087 -- 2098Simin Yu, Jinhu Lu, Guanrong Chen. Theoretical Design and Circuit Implementation of Multidirectional Multi-Torus Chaotic Attractors
2099 -- 2105Chengde Zheng, Huaguang Zhang. Generalized Multivariate Rectangular Matrix PadÉ-Type Approximants

Volume 54-I, Issue 8

1617 -- 1625Andrea Bevilacqua, Andrea Maniero, Andrea Gerosa, Andrea Neviani. An Integrated Solution for Suppressing WLAN Signals in UWB Receivers
1626 -- 1635Francesco Centurelli, Giuseppe Scotti, Alessandro Trifiletti. A High-Speed Low-Voltage Phase Detector for Clock Recovery From NRZ Data
1636 -- 1646H. Pooya Forghani-zadeh, Gabriel A. Rincón-Mora. m -C Filter
1647 -- 1656Hossein Zarei, Cameron T. Charles, David J. Allstot. Reflective-Type Phase Shifters for Multiple-Antenna Transceivers
1657 -- 1665Woon Tiong Ang, Jie Chen 0002, Tiejun Lv. High-Order Monocycle Design and Its Waveform-Generating Circuit for UWB Communications
1666 -- 1677Navid Lashkarian, Ed Hemphill, Helen Tarn, Hemang Parekh, Chris Dick. Reconfigurable Digital Front-End Hardware for Wireless Base-Station Transmitters: Analysis, Design and FPGA Implementation
1678 -- 1686Sudipta Mahapatra, Kuldeep Singh. An FPGA-Based Implementation of Multi-Alphabet Arithmetic Coding
1687 -- 1697Yanyi Liu Wong, Pamela Abshire. A 144 × 144 Current-Mode Image Sensor With Self-Adapting Mismatch Reduction
1698 -- 1710Honghao Ji, David Sander, Alfred Haas, Pamela Abshire. Contact Imaging: Simulation and Experiment
1711 -- 1723Wei Zhang, Richard R. Spencer. Timing Recovery for Backplane Ethernet
1724 -- 1735Dong Dai, Shengnan Li, Xikui Ma, Chi Kong Tse. Slow-Scale Instability of Single-Stage Power-Factor-Correction Power Supplies
1736 -- 1749Guido De Sandre, Mauro Forti, Paolo Nistri, Amedeo Premoli. Dynamical Analysis of Full-Range Cellular Neural Networks by Exploiting Differential Variational Inequalities
1750 -- 1764Bogdan J. Falkowski, Shixing Yan. Ternary Walsh Transform and Its Operations for Completely and Incompletely Specified Boolean Functions
1765 -- 1774Thibault Hilaire, Philippe Chevrel, James F. Whidborne. A Unifying Framework for Finite Wordlength Realizations
1775 -- 1787Michael E. Karystianos, Nicholas G. Maratos, Costas D. Vournas. Maximizing Power-System Loadability in the Presence of Multiple Binding Complementarity Constraints
1788 -- 1799Yao Lu, Lixin Shen, Yuesheng Xu. Multi-Parameter Regularization Methods for High-Resolution Image Reconstruction With Displacement Errors
1800 -- 1808Lorenzo Ntogramatzidis, Michael Cantoni, Ran Yang. On the Partial Realization of Noncausal 2-D Linear Systems
1809 -- 1818Joung-Hu Park, Bo-Hyung Cho. Nonisolation Soft-Switching Buck Converter With Tapped-Inductor for Wide-Input Extreme Step-Down Applications
1819 -- 1828Shu-Jen Tsai, Li Zhang, Arun G. Phadke, Yilu Liu, Michael R. Ingram, Sandra C. Bell, Ian S. Grant, Dale T. Bradshaw, David Lubkeman, Le Tang. Frequency Sensitivity and Electromechanical Propagation Simulation Study in Large Power Systems
1829 -- 1837B. Siddik Yarman, Metin Sengül, Ali Kilinc. Design of Practical Matching Networks With Lumped Elements Via Modeling
1838 -- 1851Juha Yli-Kaakinen, Tapio Saramäki. A Systematic Algorithm for the Design of Lattice Wave Digital Filters With Short-Coefficient Wordlength
1852 -- 1863Tiejun Zhang, Gang Feng. Output Tracking of Piecewise-Linear Systems via Error Feedback Regulator With Application to Synchronization of Nonlinear Chua's Circuit

Volume 54-I, Issue 7

1413 -- 1423Wei-Zen Chen, Wen-Hui Chen, Kuo-Ching Hsu. Three-Dimensional Fully Symmetric Inductors, Transformer, and Balun in CMOS Technology
1424 -- 1429Abdelhalim Bendali, Yves Audet. A 1-V CMOS Current Reference With Temperature and Process Compensation
1430 -- 1443Chun-Ming Chang, Ahmed M. Soliman, M. N. S. Swamy. C All-Pass Filter Structures
1444 -- 1458Jesús Costas-Santos, Teresa Serrano-Gotarredona, Rafael Serrano-Gotarredona, Bernabé Linares-Barranco. A Spatial Contrast Retina With On-Chip Calibration for Neuromorphic Spike-Based AER Vision Systems
1459 -- 1470Alfio Dario Grasso, Gaetano Palumbo, Salvatore Pennisi. Advances in Reversed Nested Miller Compensation
1471 -- 1480Gerrit Groenewold. Noise and Group Delay in Active Filters
1481 -- 1488Tonse Laxminidhi, Shanthi Pavan. Efficient Design Centering of High-Frequency Integrated Continuous-Time Filters
1489 -- 1499M. Reza Samadi, Aydin I. Karsilayan. Uniform Design of Multi-Peak Bandwidth Enhancement Technique for Multistage Amplifiers
1500 -- 1512Vijay Pillai, Harley Heinrich, David Dieska, Pavel V. Nikitin, Rene Martinez, K. V. Seshagiri Rao. An Ultra-Low-Power Long Range Battery/Passive RFID Tag for UHF and Microwave Bands With a Current Consumption of 700 nA at 1.5 V
1513 -- 1528Guo Yu, Peng Li. Efficient Look-Up-Table-Based Modeling for Robust Design of Σ∆ ADCs
1529 -- 1541Lih-Jen Kau, Yuan-Pei Lin. Least-Squares-Based Switching Structure for Lossless Image Coding
1542 -- 1554Oscar De Feo, Marco Storace. Piecewise-Linear Identification of Nonlinear Dynamical Systems in View of Their Circuit Implementations
1555 -- 1561Mury Thian, Vincent F. Fusco. Inverse Class-E Amplifier With Transmission-Line Harmonic Suppression
1562 -- 1572Frédéric Broydé, Evelyne Clavelier. Crosstalk in Balanced Interconnections Used for Differential Signal Transmission
1573 -- 1583Qing-Long Han. On Designing Time-Varying Delay Feedback Controllers for Master-Slave Synchronization of Lur'e Systems
1584 -- 1598Jayanta Mukherjee, Patrick Roblin, Siraj Akhtar. LC Oscillators
1599 -- 1611Soo-Chang Pei, Jian-Jiun Ding. Eigenfunctions of Fourier and Fractional Fourier Transforms With Complex Offsets and Parameters

Volume 54-I, Issue 6

1165 -- 1176David W. Graham, Paul E. Hasler, Ravi Chawla, Paul D. Smith. A Low-Power Programmable Bandpass Filter Section for Higher Order Filter Applications
1177 -- 1188Soumyajit Mandal, Rahul Sarpeshkar. Low-Power CMOS Rectifier Design for RFID Applications
1189 -- 1203Apisak Worapishet, Ittipat Roopkom, Wanlop Surakampontorn. Performance Analysis and Design of Triple-Resonance Interstage Peaking for Wide-Band Cascaded CMOS Amplifiers
1204 -- 1212Xuguang Zhang, Ezz I. El-Masry. A Novel CMOS OTA Based on Body-Driven MOSFETs and its Applications in OTA-C Filters
1213 -- 1223Jen-Lin Fan, Chung-Yi Wang, Jieh-Tsorng Wu. A Robust and Fast Digital Background Calibration Technique for Pipelined ADCs
1224 -- 1235Markus Pichler, Andreas Stelzer, Peter Gulden, Claus Seisenberger, Martin Vossiek. Phase-Error Measurement and Compensation in PLL Frequency Synthesizers for FMCW Sensors - II: Theory
1236 -- 1244George Suárez, Manuel Jiménez, Félix O. Fernández. Behavioral Modeling Methods for Switched-Capacitor Σ∆ Modulators
1245 -- 1254Pemmaraju V. Ananda Mohan, A. B. Premkumar. n+1+1}
1255 -- 1265Mitchell J. Myjak, José G. Delgado-Frias. Medium-Grain Cells for Reconfigurable DSP Hardware
1266 -- 1278Karim G. Oweiss, Andrew J. Mason, Yasir Suhail, Awais M. Kamboh, Kyle E. Thomson. A Scalable Wavelet Transform VLSI Architecture for Real-Time Signal Processing in High-Density Intra-Cortical Implants
1279 -- 1292Riyaz A. Patel, Mohammed Benaissa, Neil Powell, Said Boussakta. Novel Power-Delay-Area-Efficient Approach to Generic Modular Addition
1293 -- 1304Janet Meiling Wang, Bharat Sukhwani, Uday Padmanabhan, Dongsheng Ma, Kartik Sinha. Simulation and Design of Nanocircuits With Resonant Tunneling Devices
1305 -- 1316Matthieu Arzel, Cyril Lahuec, Fabrice Seguin, David Gnaedig, Michel Jézéquel. Semi-Iterative Analog Turbo Decoding
1317 -- 1326Tianping Chen, Xiwei Liu, Wenlian Lu. Pinning Complex Networks by a Single Controller
1327 -- 1339Giampaolo Cimatti, Riccardo Rovatti, Gianluca Setti. Chaos-Based Spreading in DS-UWB Sensor Networks Increases Available Bit Rate
1340 -- 1347Silvano Cincotti, Andrea Teglio. A Systematic Approach to Bi-Directionally Nonlinearly Coupled Systems Design for the Generation of Complex Dynamical Behaviours
1348 -- 1357Hai Huyen Dam, Antonio Cantoni, Kok Lay Teo, Sven Nordholm. FIR Variable Digital Filter With Signed Power-of-Two Coefficients
1358 -- 1366Francisco del Águìla López, Pere Palà-Schönwälder, Pilar Molina Gaudó, Arturo Mediano. A Discrete-Time Technique for the Steady-State Analysis of Nonlinear Class-E Amplifiers
1367 -- 1379Tingshu Hu, Andrew R. Teel. Characterization of Forced Vibration for Difference Inclusions: A Lyapunov Approach
1380 -- 1388Miloje S. Radenkovic, Tamal Bose. A Recursive Blind Adaptive Identification Algorithm and Its Almost Sure Convergence
1389 -- 1397Tadashi Suetsugu, Marian K. Kazimierczuk. Off-Nominal Operation of Class-E Amplifier at Any Duty Ratio
1398 -- 1405Mingsheng Wang. On Factor Prime Factorizations for n-D Polynomial Matrices
1406 -- 0Jun Yi, Wing-Hung Ki, Chi-Ying Tsui. Corrections to "Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications" [Jan 07 153-166]

Volume 54-I, Issue 5

933 -- 940Salvatore Omar Cannizzaro, Alfio Dario Grasso, Rosario Mita, Gaetano Palumbo, Salvatore Pennisi. Design Procedures for Three-Stage CMOS OTAs With Nested-Miller Compensation
941 -- 950Timothy Wayne Fischer, Burak Kelleci, Kai Shi, Aydin I. Karsilayan, Erchin Serpedin. An Analog Approach to Suppressing In-Band Narrow-Band Interference in UWB Receivers
951 -- 963David W. Graham, Ethan Farquhar, Brian P. Degnan, Christal Gordon, Paul E. Hasler. Indirect Programming of Floating-Gate Transistors
964 -- 973Chengming He, Le Jin, Degang Chen, Randy Geiger. Robust High-Gain Amplifier Design Using Dynamical Systems and Bifurcation Theory With Digital Postprocessing Techniques
974 -- 980Hongyean Hsieh, Chia-Liang Lin. Spectral Shaping of Dithered Quantization Errors in Sigma-Delta Modulators
981 -- 993Elisabetta Chicca, Adrian M. Whatley, Patrick Lichtsteiner, Vittorio Dante, Tobi Delbrück, Paolo Del Giudice, Rodney J. Douglas, Giacomo Indiveri. A Multichip Pulse-Based Neuromorphic Infrastructure and Its Application to a Model of Orientation Selectivity
994 -- 1005Massimiliano Laddomada. Generalized Comb Decimation Filters for Σ∆ A/D Converters: Analysis and Design
1006 -- 1017Markus Pichler, Andreas Stelzer, Peter Gulden, Claus Seisenberger, Martin Vossiek. Phase-Error Measurement and Compensation in PLL Frequency Synthesizers for FMCW Sensors - I: Context and Application
1018 -- 1031Ramakrishna Voorakaranam, Selim Sermet Akbay, Soumendu Bhattacharya, Sasikumar Cherubal, Abhijit Chatterjee. Signature Testing of Analog and RF Circuits: Algorithms and Methodology
1032 -- 1040Antonio Cantoni, Jacqueline Walker, Toby-Daniel Tomlin. Characterization of a Flip-Flop Metastability Measurement Method
1041 -- 1049Bin Cao, Chip-Hong Chang, Thambipillai Srikanthan. A Residue-to-Binary Converter for a New Five-Moduli Set
1050 -- 1059Jin-Fa Lin, Yin-Tsung Hwang, Ming-Hwa Sheu, Cheng-Che Ho. A Novel High-Speed and Energy Efficient 10-Transistor Full Adder Design
1060 -- 1068Fei Sun, Tong Zhang 0002. Low-Power State-Parallel Relaxed Adaptive Viterbi Decoder
1069 -- 1076Chua-Chin Wang, Ching-Li Lee, Wun-Ji Lin. A 4-kb Low-Power SRAM Design With Negative Word-Line Scheme
1077 -- 1087Til Aach. Comparative Analysis of Shift Variance and Cyclostationarity in Multirate Filter Banks
1088 -- 1098Hassan Ali, Arnaud Doucet, Dino Isa Amshah. GSR: A New Genetic Algorithm for Improving Source and Channel Estimates
1099 -- 1108Yuehui Huang, Chi Kong Tse. Circuit Theoretic Classification of Parallel Connected DC-DC Converters
1109 -- 1119Anil Kandangath, Satish Krishnamoorthy, Ying-Cheng Lai, John A. Gaudet. Inducing Chaos in Electronic Circuits by Resonant Perturbations
1120 -- 1130Somnath Maity, Divyendu Tripathy, Tapas Kumar Bhattacharya, Soumitro Banerjee. Bifurcation Analysis of PWM-1 Voltage-Mode-Controlled Buck Converter Using the Exact Discrete Model
1131 -- 1141Houduo Qi. New Sufficient Conditions for Global Robust Stability of Delayed Neural Networks
1142 -- 1149Chunyu Yang, Qingling Zhang, Yanping Lin, Linna Zhou. Positive Realness and Absolute Stability Problem of Descriptor Systems
1150 -- 1158Fuliang Yin, Tiemin Mei, Jun Wang. Blind-Source Separation Based on Decorrelation and Nonstationarity

Volume 54-I, Issue 4

689 -- 704Ibrahim R. Chamas, Sanjay Raman. A Comprehensive Analysis of Quadrature Signal Synthesis in Cross-Coupled RF VCOs
705 -- 712Yuh-Shyan Hwang, Shu-Chen Wang, Fong-Cheng Yang, Jiann-Jong Chen. New Compact CMOS Li-Ion Battery Charger Using Charge-Pump Technique for Portable Applications
713 -- 722Tien-Yu Lo, Chung-Chih Hung. m - C Continuous-Time Analog Filter
723 -- 735Rui Yu, Yong Ping Xu. Bandpass Sigma-Delta Modulator Employing SAW Resonator as Loop Filter
736 -- 744Sunitha Ayers, Kevin D. Gillis, Manfred Lindau, Bradley A. Minch. Design of a CMOS Potentiostat Circuit for Electrochemical Detector Arrays
745 -- 756Faramarz Bahmani, Teresa Serrano-Gotarredona, Edgar Sánchez-Sinencio. LC Filters
757 -- 767Jeroen De Maeyer, Pieter Rombouts, Ludo Weyten. Efficient Multibit Quantization in Continuous-Time Sigma Delta Modulators
768 -- 778Mury Thian, Vincent F. Fusco. Sensitivity Characteristics of Inverse Class-E Power Amplifier
779 -- 790Sudhakar Pamarti, Ian Galton. LSB Dithering in MASH Delta-Sigma D/A Converters
791 -- 806Chao Cheng, Keshab K. Parhi. Low-Cost Fast VLSI Algorithm for Discrete Fourier Transform
807 -- 815Yu-Wei Lin, Chen-Yi Lee. Design of an FFT/IFFT Processor for MIMO OFDM Systems
816 -- 828Tommaso Addabbo, Massimo Alioto, Ada Fort, Antonio Pasini, Santina Rocchi, Valerio Vignoli. A Class of Maximum-Period Nonlinear Congruential Generators Derived From the Rényi Chaotic Map
829 -- 844Abdullah Celik, Zhaonian Zhang, Paul P. Sotiriadis. m - C Filters With Weak Nonlinearities
845 -- 854Shing-Chow Chan, Kai Man Tsui. Wordlength Optimization of Linear Time-Invariant Systems With Multiple Outputs Using Geometric Programming
855 -- 862Jeffrey Harrison. Formal Synthesis of Circuits With Minimum Noise Figure Using Linear Matrix Inequalities
863 -- 876Steven Huang, Bernard C. Levy. Blind Calibration of Timing Offsets for Four-Channel Time-Interleaved ADCs
877 -- 888Håkan Johansson, Per Löwenborg, K. Vengattaramane. Least-Squares and Minimax Design of Polynomial Impulse Response FIR Filters for Reconstruction of Two-Periodic Nonuniformly Sampled Signals
889 -- 900Hyun-Yong Lee, In-Cheol Park. Balanced Binary-Tree Decomposition for Area-Efficient Pipelined FFT Processing
901 -- 911Ching-An Lin, Yi-Sheng Chen. Blind Identification of MIMO Channels Using Optimal Periodic Precoding
912 -- 924Jun Xu 0005, Daoying Pi, Yong-Yan Cao, Shouming Zhong. On Stability of Neural Networks by a Lyapunov Functional-Based Approach
925 -- 926Paul Merolla, John V. Arthur, Bertram E. Shi, Kwabena Boahen. Corrections to "Expandable Networks for Neuromorphic Chips"
925 -- 0Leonid Belostotski, James W. Haslett. Correction to "Noise Figure Optimization of Inductively-Degenerated CMOS LNA's With Integrated Gate Inductors"
927 -- 928Amr M. Youssef. A Comment on "Cryptographic Applications of Brahmagupta-Bhãskara Equation"
928 -- 929N. Rama Murthy, M. N. S. Swamy. Authors' Reply

Volume 54-I, Issue 3

449 -- 457Juan Antonio Gómez Galán, Antonio J. López-Martín, Ramón González Carvajal, Jaime Ramírez-Angulo, Carlos Rubia-Marcos. Super Class-AB OTAs With Adaptive Biasing and Dynamic Output Current Scaling
458 -- 468Manisha Gambhir, Vijay Dhanasekaran, José Silva-Martínez, Edgar Sánchez-Sinencio. m-C Filters
469 -- 480Artur J. Lewinski, José Silva-Martínez. A 30-MHz Fifth-Order Elliptic Low-Pass CMOS Filter With 65-dB Spurious-Free Dynamic Range
481 -- 491Ravi Chawla, Farhan Adil, Guillermo J. Serrano, Paul E. Hasler. m- C Filters Using Floating-Gate Operational Transconductance Amplifiers
492 -- 503Sudhakar Pamarti, Jared Welz, Ian Galton. Statistics of the Quantization Noise in 1-Bit Dithered Single-Quantizer Digital Delta-Sigma Modulators
504 -- 517Harri Rapakko, Juha Kostamovaara. On the Performance and Use of an Improved Source-Follower Buffer
518 -- 529Jean-François Frigon, Ahmed M. Eltawil, Eugene Grayver, Alireza Tarighat, Hanli Zou. Design and Implementation of a Baseband WCDMA Dual-Antenna Mobile Terminal
530 -- 543Chung-Fu Kao, Shyh-Ming Huang, Ing-Jer Huang. A Hardware Approach to Real-Time Program Trace Compression for Embedded Processors
544 -- 554Saman Asgaran, M. Jamal Deen, Chih Hung Chen. Design of the Input Matching Network of RF CMOS LNAs for Low-Power Operation
555 -- 565Mohammed Benaissa, Yiqun Zhu. Reconfigurable Hardware Architectures for Sequential and Hybrid Decoding
566 -- 578Tamal Bose, Mei-Qin Chen, Ratchaneekorn Thamvichai. Stability of the 2-D Givone-Roesser Model With Periodic Coefficients
579 -- 589Feng-Yin Chen, Jiann-Fuh Chen, R.-L. Lin. LC Resonant Networks
590 -- 600Zhenghao Lu, Kiat Seng Yeo, Jianguo Ma, Manh Anh Do, Wei Meng Lim, Xueying Chen. Broad-Band Design Techniques for Transimpedance Amplifiers
601 -- 609Anthoula Menti, Thomas Zacharias, John Milias-Argitis. Geometric Algebra: A Powerful Tool for Representing Power Under Nonsinusoidal Conditions
610 -- 619Shaul Ozeri, Doron Shmilovitz, Sigmond Singer, Luis Martinez-Salamero. The Mathematical Foundation of Distributed Interleaved Systems
620 -- 631Marco Storace, Federico Bizzarri. Towards Accurate PWL Approximations of Parameter-Dependent Nonlinear Dynamical Systems With Equilibria and Limit Cycles
632 -- 642Jason Sheng Hong Tsai, Tseng-Hsu Chien, Shu-Mei Guo, Yu-Pin Chang, Leang-San Shieh. State-Space Self-Tuning Control for Stochastic Fractional-Order Chaotic Systems
643 -- 655Chien-Cheng Tseng. Closed-Form Design of Digital IIR Integrators Using Numerical Integration Rules and Fractional Sample Delays
656 -- 668Chien-Cheng Tseng. Closed-Form Design of Half-Sample Delay IIR Filter Using Continued Fraction Expansion
669 -- 681Dayong Zhou, Victor E. DeBrunner. Efficient Adaptive Nonlinear Filters for Nonlinear Active Noise Control
682 -- 683Natthoo Lal Prajapati, Dinesh Chandra, Devarakonda Seshachalam. Corrections and Comments to "Model Reduction of Discrete Linear Systems Via Frequency-Domain Balanced Structure"
683 -- 684Dali Wang, Ali Zilouchian. Authors' Reply [to "Corrections and Comments to 'Model Reduction of Discrete Linear Systems Via Frequency-Domain Balanced Structure'"]

Volume 54-I, Issue 2

245 -- 254Roberto Nonis, Enzo Palumbo, Pierpaolo Palestri, Luca Selmi. A Design Methodology for MOS Current-Mode Logic Frequency Dividers
255 -- 267Tao Wang, Fei Yuan. A New Current-Mode Incremental Signaling Scheme With Applications to Gb/s Parallel Links
268 -- 279Tao Chen, Georges G. E. Gielen. The Analysis and Improvement of a Current-Steering DAC's Dynamic SFDR - II: The Output-Dependent Delay Differences
280 -- 290Chao Cheng, Keshab K. Parhi. Low- Cost Parallel FIR Filter Structures With 2-Stage Parallelism
291 -- 300Jovan Dj. Golic. Techniques for Random Masking in Hardware
301 -- 311Paul Merolla, John V. Arthur, Bertram E. Shi, Kwabena Boahen. Expandable Networks for Neuromorphic Chips
312 -- 321Biranchinath Sahu, Gabriel A. Rincón-Mora. An Accurate, Low-Voltage, CMOS Switching Power Supply With Adaptive On-Time Pulse-Frequency Modulation (PFM) Control
322 -- 328Hiroaki Suzuki, Chris H. Kim, Kaushik Roy. Fast Tag Comparator Using Diode Partitioned Domino for 64-bit Microprocessors
329 -- 337Francesco Corsi, Gianvito Matarrese, Cristoforo Marzocca, Angelo Dragone, Andrea Baschirotto, Stefano D'Amico. Tuning of High-Speed Telecommunication Filters, via I/O Cross-Correlation Evaluation
338 -- 350Mohamad Adnan Al-Alaoui. Novel Approach to Analog-to-Digital Transforms
351 -- 366Shing-Chow Chan, Kai Man Tsui, K. S. Yeung, T. I. Yuk. Design and Complexity Optimization of a New Digital IF for Software Radio Receivers With Prescribed Output Accuracy
367 -- 376Hui Cheng, Shing-Chow Chan. Blind Linear MMSE Receivers for MC-CDMA Systems
377 -- 390Taotao Jin, Lihua Li, Keyue M. Smedley. A Universal Vector Controller for Four-Quadrant Three-Phase Power Converters
391 -- 400Paolo Maffezzoni, Lorenzo Codecasa, Dario D'Amore. Time-Domain Simulation of Nonlinear Circuits Through Implicit Runge-Kutta Methods
401 -- 410Shanthi Pavan, Rajesh Tiruvuru. Analysis and Design of Singly Terminated Transmission-Line FIR Adaptive Equalizers
411 -- 419Abhishek Tandon, M. N. S. Swamy, M. Omair Ahmad. ∞ -Norm Based Algorithms
420 -- 431Mehmet Rasit Yuce, Wentai Liu, John Damiano, Bhaskar Bharath, Paul D. Franzon, Numan Sadi Dogan. SOI CMOS Implementation of a Multirate PSK Demodulator for Space Communications
432 -- 440Zixue Zhao. An Efficient State-Space Realization With Minimum Roundoff Noise Gain

Volume 54-I, Issue 12

2585 -- 2589Sankar Basu. Outgoing Editorial
2590 -- 2591Sanjit K. Mitra. In Memoriam: M. Robert Aaron (1922-2007)
2592 -- 2598Jorge R. Fernandes, Michiel H. L. Kouwenhoven, Chris van den Bos, Luís B. Oliveira, Chris J. M. Verhoeven. The Effect of Mismatches and Delay on the Quadrature Error of a Cross-Coupled Relaxation Oscillator
2599 -- 2607Stijn Reekmans, Pieter Rombouts, Ludo Weyten. Mismatch Insensitive Double-Sampling Quadrature Bandpass SigmaDelta Modulation
2608 -- 2618Richard A. Blum, James D. Ross, Edgar A. Brown, Stephen P. DeWeerth. An Integrated System for Simultaneous, Multichannel Neuronal Stimulation and Recording
2619 -- 2627Chuan-Yu Chang, Da-Feng Zhuang. A Fuzzy-Based Learning Vector Quantization Neural Network for Recurrent Nasal Papilloma Detection
2628 -- 2638Kaveh Hosseini, Michael Peter Kennedy. Maximum Sequence Length MASH Digital Delta-Sigma Modulators
2639 -- 2645Matthias Keller, Alexander Buhmann, Friedel Gerfers, Maurits Ortmanns, Yiannos Manoli. On the Implicit Anti-Aliasing Feature of Continuous-Time Cascaded Sigma-Delta Modulators
2646 -- 2660Kaushik Sengupta, T. K. Bhattacharyya, Hossein Hashemi. A Nonlinear Transient Analysis of Regenerative Frequency Dividers
2661 -- 2668Poki Chen, Mon-Chau Shie, Zhi-Yuan Zheng, Zi-Fan Zheng, Chun-Yan Chu. A Fully Digital Time-Domain Smart Temperature Sensor Realized With 140 FPGA Logic Elements
2669 -- 2682A. K. M. K. Mollah, Roberto Rosales, Sassan Tabatabaei, James Cicalo, André Ivanov. Design of a Tunable Differential Ring Oscillator With Short Start-Up and Switching Transients
2683 -- 2695Esam Khan, M. Watheq El-Kharashi, Fayez Gebali, Mostafa Abd-El-Barr. Design and Performance Analysis of a Unified, Reconfigurable HMAC-Hash Unit
2696 -- 2705Dilip P. Vasudevan, Parag K. Lala, James Patrick Parkerson. Self-Checking Carry-Select Adder Design Based on Two-Rail Encoding
2706 -- 2717Mustafa Acar, Anne-Johan Annema, Bram Nauta. Analytical Design Equations for Class-E Power Amplifiers
2718 -- 2732Tian-Bo Deng. Symmetric Structures for Odd-Order Maximally Flat and Weighted-Least-Squares Variable Fractional-Delay Filters
2733 -- 2740Chien-Ping Lee, Wenlong Ma, Nanlei Larry Wang. Averaging and Cancellation Effect of High-Order Nonlinearity of a Power Amplifier
2741 -- 2745Moon Ho Lee, Xiao-Dong Zhang. Fast Block Center Weighted Hadamard Transform

Volume 54-I, Issue 11

2341 -- 0Sankar Basu. A Note From the Editor-in-Chief
2342 -- 2344Clifford Lau, Alex Orailoglu, Kaushik Roy. Guest Editorial
2345 -- 2352Alain E. Kaloyeros, Mircea R. Stan, Barry Arkles, Robert E. Geer, Eric T. Eisenbraun, James E. Raynolds, Anand Gadre, Yongqiang Xue, James Ryan. Conformational Molecular Switches for Post-CMOS Nanoelectronics
2353 -- 2359Jaap Hoekstra. On Circuit Theories for Single-Electron Tunneling Devices
2360 -- 2364Vildana Hodzic, Robert W. Newcomb. Modeling of the Electrical Conductivity of DNA
2365 -- 2379Ian O'Connor, Junchen Liu, Frédéric Gaffiot, Fabien Prégaldiny, Christophe Lallement, Cristell Maneux, Johnny Goguet, Sébastien Fregonese, Thomas Zimmer, Lorena Anghel, Trong-Trinh Dang, Régis Leveugle. CNTFET Modeling and Reconfigurable Logic-Circuit Design
2380 -- 2390Garrett S. Rose, Mircea R. Stan. A Programmable Majority Logic Array Using Molecular Scale Electronics
2391 -- 2401Arijit Raychowdhury, Kaushik Roy. Carbon Nanotube Electronics: Design of High-Performance and Low-Power Digital Circuits
2402 -- 2409Ahmad A. Al-Yamani, S. Ramsundar, Dhiraj K. Pradhan. A Defect Tolerance Scheme for Nanotechnology Circuits
2410 -- 2421Warren Robinett, Philip Kuekes, R. Stanley Williams. Defect Tolerance Based on Coding and Series Replication in Transistor-Logic Demultiplexer Circuits
2422 -- 2437Csaba Andras Moritz, Teng Wang, Pritish Narayanan, Michael Leuchtenburg, Yao Guo, Catherine Dezan, Mahmoud A. Bennaser. Fault-Tolerant Nanoscale Processors on Semiconductor Nanowire Grids
2438 -- 2446Bane V. Vasic, Shashi Kiran Chilappagari. An Information Theoretical Framework for Analysis and Design of Nanoscale Fault-Tolerant Memories Based on Low-Density Parity-Check Codes
2447 -- 2460Debayan Bhaduri, Sandeep K. Shukla, Paul S. Graham, Maya B. Gokhale. Reliability Analysis of Large Circuits Using Scalable Techniques and Tools
2461 -- 2471Jonas Sköldberg, Carl Önnheim, Göran Wendin. Nanocell Devices and Architecture for Configurable Computing With Molecular Electronics
2472 -- 2479Shinobu Fujita, Kumiko Nomura, Keiko Abe, Thomas H. Lee. 3-D Nanoarchitectures With Carbon Nanotube Mechanical Switches for Future On-Chip Network Beyond CMOS Architecture
2480 -- 2488Rajat Subhra Chakraborty, Seetharam Narasimhan, Swarup Bhunia. Hybridization of CMOS With CNT-Based Nano-Electromechanical Switch for Low Leakage and Robust Circuit Design
2489 -- 2501Chen Dong, Deming Chen, S. Haruehanroengra, Wei Wang 0003. 3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits
2502 -- 2515Changjian Gao, Dan W. Hammerstrom. Cortical Models Onto CMOL and CMOS - Architectures and Performance/Price
2516 -- 2527Wancheng Zhang, Nan-Jian Wu. A Novel Hybrid Phase-Locked-Loop Frequency Synthesizer Using Single-Electron Devices and CMOS Transistors
2528 -- 2540Shamik Das, Alexander J. Gates, Hassen A. Abdu, Garrett S. Rose, Carl A. Picconatto, James C. Ellenbogen. Designs for Ultra-Tiny, Special-Purpose Nanoelectronic Circuits
2541 -- 2551Piet Wambacq, Bob Verbruggen, Karen Scheir, Jonathan Borremans, Morin Dehan, Dimitri Linten, Vincent De Heyn, Geert Van der Plas, Abdelkarim Mercha, Bertrand Parvais, Cedric Gustin, Vaidyanathan Subramanian, Nadine Collaert, Malgorzata Jurczak, Stefaan Decoutere. The Potential of FinFETs for Analog and RF Circuit Applications
2552 -- 2563Yi-Lin Ju, I-Ming Tsai, Sy-Yen Kuo. Quantum Circuit Design and Analysis for Database Search Applications
2564 -- 2579Valeriu Beiu, Snorre Aunet, Jabulani Nyathi, Ray Robert Rydberg III, Walid Ibrahim. Serial Addition: Locally Connected Architectures

Volume 54-I, Issue 10

2109 -- 2119Bernhard Büttgen, M'hamed-Ali El Mechat, Felix Lustenberger, Peter Seitz. Pseudonoise Optical Modulation for Real-Time 3-D Imaging With Minimum Interference
2120 -- 2127Y.-J. E. Chen, Y.-I. Huang. Development of Integrated Broad-Band CMOS Low-Noise Amplifiers
2128 -- 2136Jongsoo Lee, Young-Gi Kim, Eun-Jin Lee, Chang-Woo Kim, Patrick Roblin. A 8-GHz SiGe HBT VCO Design on a Low Resistive Silicon Substrate Using GSML
2137 -- 2147Faisal A. Musa, Anthony Chan Carusone. Modeling and Design of Multilevel Bang-Bang CDRs in the Presence of ISI and Noise
2148 -- 2158Sheng-Yu Peng, Paul E. Hasler, David V. Anderson. An Analog Programmable Multidimensional Radial Basis Function Based Classifier
2159 -- 2166Dongwon Seo, Hayg Dabag, Yuhua Guo, Manu Mishra, Gene H. McAllister. High-Voltage-Tolerant Analog Circuits Design in Deep-Submicrometer CMOS Technologies
2167 -- 2177Arindam Basu, Ryan W. Robucci, Paul E. Hasler. A Low-Power, Compact, Adaptive Logarithmic Transimpedance Amplifier Operating Over Seven Decades of Current
2178 -- 2183Carmine Gianni, Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. The Universal Circuit Simulator: A Mixed-Signal Approach to n-Port Network and Impedance Synthesis
2184 -- 2194Karthikeyan Reddy, Shanthi Pavan. Fundamental Limitations of Continuous-Time Delta-Sigma Modulators Due to Clock Jitter
2195 -- 2210Shu-Hui Tu, Chun-Ming Chang, J. Neil Ross, M. N. S. Swamy. Analytical Synthesis of Current-Mode High-Order Single-Ended-Input OTA and Equal-Capacitor Elliptic Filter Structures With the Minimum Number of Components
2211 -- 2221Maysam Ghovanloo, Suresh Atluri. A Wide-Band Power-Efficient Inductive Wireless Link for Implantable Microelectronic Devices Using Multiple Carriers
2222 -- 2228Joel B. Simoneau, L. Wilson Pearson. Multitone Feedback Through Demodulating Log Detector for Detection of Spurious Emissions in Software Radio
2229 -- 2239Pei-Yun Tsai, Tzi-Dar Chiueh. A Low-Power Multicarrier-CDMA Downlink Baseband Receiver for Future Cellular Communication Systems
2240 -- 2251Colm Fewer, Mark F. Flanagan, Anthony D. Fagan. A Versatile Variable Rate LDPC Codec Architecture
2252 -- 2261Ashkan Ashrafi, Reza R. Adhami. Theoretical Upperbound of the Spurious-Free Dynamic Range in Direct Digital Frequency Synthesizers Realized by Polynomial Interpolation Methods
2262 -- 2276Raija Lehto, Tapio Saramäki, Olli Vainio. Synthesis of Narrowband Linear-Phase FIR Filters With a Piecewise-Polynomial Impulse Response
2277 -- 2287Chin-Teng Lin, Chao-Hui Huang, Shi-An Chen. CNN-Based Hybrid-Order Texture Segregation as Early Vision Processing and Its Implementation on CNN-UM
2288 -- 2298Xinzhi Liu, Xuemin Shen, Yi Zhang, Qing Wang. Stability Criteria for Impulsive Systems With Time Delay and Unstable System Matrices
2299 -- 2314Gianluca Mazzini, Gianluca Setti, Riccardo Rovatti. Chip Pulse Shaping in Asynchronous Chaos-Based DS-CDMA
2315 -- 2320Brockway McMillan. Passive Multiterminal Networks Without Transformers
2321 -- 2329Shweta Srivastava, Jaijeet S. Roychowdhury. Analytical Equations for Nonlinear Phase Errors and Jitter in Ring Oscillators
2330 -- 2338Ya Jun Yu, Yong Ching Lim. Design of Linear Phase FIR Filters in Subexpression Space Using Mixed Integer Linear Programming

Volume 54-I, Issue 1

1 -- 3Orly Yadid-Pecht, Mona E. Zaghloul, Denise Wilson. Welcome to the Special Section on Smart Sensors!
4 -- 12David Stoppa, Lucio Pancheri, Mauro Scandiuzzo, Lorenzo Gonzo, Gian-Franco Dalla Betta, Andrea Simoni. A CMOS 3-D Imager Based on Single Photon Avalanche Diode
13 -- 25Chen Shoushun, Amine Bermak, Wang Yan, Dominique Martinez. Adaptive-Quantization Digital Image Sensor for Low-Power Image Compression
26 -- 34Ashkan Olyaei, Roman Genov. Focal-Plane Spatially Oversampling CMOS Image Compression Sensor
35 -- 47Chao-Hui Huang, Chin-Teng Lin. Bio-Inspired Computer Fovea Model Based on Hexagonal-Type Cellular Neural Network
48 -- 59Vincent Chan, Shih-Chii Liu, André van Schaik. AER EAR: A Matched Silicon Cochlea Pair With Address Event Representation Interface
60 -- 73Thomas Jacob Koickal, Alister Hamilton, Su-Lim Tan, James A. Covington, Julian W. Gardner, Tim C. Pearce. Analog VLSI Circuit Implementation of an Adaptive Neuromorphic Olfaction Chip
74 -- 88Rock Z. Shi, Timothy K. Horiuchi. A Neuromorphic VLSI Model of Bat Interaural Level Difference Processing for Azimuthal Echolocation
89 -- 98Syed Kamrul Islam, Rajagopal Vijayaraghavan, Mo Zhang, Steven Ripp, Sam D. Caylor, Brandon Weathers, Scott Moser, Stephen C. Terry, Benjamin J. Blalock, Gary S. Sayler. Integrated Circuit Biosensors Using Living Whole-Cell Bioreporters
99 -- 110Lee Hartley, Karan V. I. S. Kaler, Orly Yadid-Pecht. Hybrid Integration of an Active Pixel Sensor and Microfluidics for Cytometry on a Chip
111 -- 118Vamsy P. Chodavarapu, Daniil O. Shubin, Rachel M. Bukowski, Albert H. Titus, Alexander N. Cartwright, Frank V. Bright. CMOS-Based Phase Fluorometric Oxygen Sensor System
119 -- 129P. K. Chan, D. Y. Chen. A CMOS ISFET Interface Circuit With Dynamic Current Temperature Compensation Technique
130 -- 140Wouter Bracke, Patrick Merken, Robert Puers, Chris Van Hoof. Ultra-Low-Power Interface Chip for Autonomous Capacitive Sensor Systems
141 -- 152Diego Ruben Barrettino, Piero Malcovati, Markus Graf, Sadik Hafizovic, Andreas Hierlemann. CMOS-Based Monolithic Controllers for Smart Sensors Comprising Micromembranes and Microcantilevers
153 -- 166Jun Yi, Wing-Hung Ki, Chi-Ying Tsui. Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
167 -- 178Jichun Zhang, Junwei Zhou, Andrew Mason. Highly Adaptive Transducer Interface Circuit for Multiparameter Microsystems
179 -- 190Robert Chebli, Mohamad Sawan. Fully Integrated High-Voltage Front-End Interface for Ultrasonic Sensing Applications
191 -- 192Tuna B. Tarim, Anthony Chan Carusone. Editorial ISCAS 2006 Special Section on Analog Circuits and Systems
193 -- 199Hirokazu Yoshizawa, Gabor C. Temes. Switched-Capacitor Track-and-Hold Amplifiers With Low Sensitivity to Op-Amp Imperfections
200 -- 208Jeroen Van Ham, Ignace Naert, Robert Puers. Design and Packaging of a Fully Autonomous Medical Monitoring System for Dental Applications
209 -- 217Raf Schoofs, Michiel Steyaert, Willy M. C. Sansen. A Design-Optimized Continuous-Time Delta-Sigma ADC for WLAN Applications
218 -- 228Paul P. Sotiriadis, Abdullah Celik, Dimitrios N. Loizos, Zhaonian Zhang. m-C Filters
229 -- 237Matthias Frey, Hans-Andrea Loeliger. On the Static Resolution of Digitally Corrected Analog-to-Digital and Digital-to-Analog Converters With Low-Precision Components