Journal: IEEE Trans. on Circuits and Systems

Volume 62-II, Issue 9

821 -- 825Antoine Bonnetat, Jean-Michel Hode, Guillaume Ferré, Dominique Dallet. An Adaptive All-Digital Blind Compensation of Dual-TIADC Frequency-Response Mismatch Based on Complex Signal Correlations
826 -- 830Tohid Moosazadeh, Mohammad Yavari. A Calibration Technique for Pipelined ADCs Using Self-Measurement and Histogram-Based Test Methods
831 -- 835Dong Wang, John P. Keane, Paul J. Hurst, Stephen H. Lewis. An Integrator-Based Pipelined ADC With Digital Calibration
836 -- 840Sungchun Jang, SungWoo Kim, Sang-Hyeok Chu, Gyu-Seob Jeong, Yoonsoo Kim, Deog Kyoon Jeong. An Optimum Loop Gain Tracking All-Digital PLL Using Autocorrelation of Bang-Bang Phase-Frequency Detection
841 -- 845Muhammad Ali Montazerolghaem, Tohid Moosazadeh, Mohammad Yavari. A Predetermined LMS Digital Background Calibration Technique for Pipelined ADCs
846 -- 850Yesung Kang, Jaehyouk Choi, Youngmin Kim. A Wide-Range On-Chip Leakage Sensor Using a Current-Frequency Converting Technique in 65-nm Technology Node
851 -- 855Yuan-Ho Chen. Area-Efficient Fixed-Width Squarer With Dynamic Error-Compensation Circuit
856 -- 860Tiben Che, Jingwei Xu, Gwan Choi. Accelerated Dual-Path Asynchronous Circuit
861 -- 865Sergio D. Muñoz, Javier Hormigo. High-Throughput FPGA Implementation of QR Decomposition
866 -- 870Mina Kim, Christopher M. Twigg. A Ramp Converter Approach to Rank Modulation
871 -- 875Choon Ki Ahn, Haranath Kar. Passivity and Finite-Gain Performance for Two-Dimensional Digital Filters: The FM LSS Model Case
876 -- 880Zhen-guo Ma, Xiaobo Yin, Feng Yu. A Novel Memory-Based FFT Architecture for Real-Valued Signals Based on a Radix-2 Decimation-In-Frequency Algorithm
881 -- 885Keunsang Lee, Yonghyun Baek, Youngcheol Park. Nonlinear Acoustic Echo Cancellation Using a Nonlinear Postprocessor With a Linearly Constrained Affine Projection Algorithm
886 -- 890Alberto Oliveri, Martin Reimers, Marco Storace. Automatic Domain Partitioning of Piecewise-Affine Simplicial Functions Implementing Model Predictive Controllers
891 -- 895Lars Keuninckx, Guy van der Sande, Jan Danckaert. Simple Two-Transistor Single-Supply Resistor-Capacitor Chaotic Oscillator
896 -- 900Luiz Antonio Jacyntho, Marcelo Carvalho Minhoto Teixeira, Edvaldo Assunção, Rodrigo Cardim, Roberto Kawakami Harrop Galvão, Sillas Hadjiloucas. Identification of Fractional-Order Transfer Functions Using a Step Excitation
901 -- 905Georges Kaddoum, Ebrahim Soujeri, Carlos Arcila, Khaled M. Eshteiwi. I-DCSK: An Improved Noncoherent Communication System Architecture
906 -- 910Hyeji Kim, Injun Choi, Wooseok Byun, Jong-Yeol Lee, Ji-Hoon Kim. Distributed CRC Architecture for High-Radix Parallel Turbo Decoding in LTE-Advanced Systems
911 -- 915Taewook Kang, Sungeun Kim, Changhee Hyoung, Sungweon Kang, Kyunghwan Park. An Energy Combiner for a Multi-Input Energy-Harvesting System

Volume 62-II, Issue 8

721 -- 725Chixiao Chen, Zemin Feng, Jun Xu 0011, Fan Ye, Junyan Ren. An ARMA-Model-Based NTF Estimation on Continuous-Time ΔΣ Modulators
726 -- 730Kamal El-Sankary, Tetsuya Asai, Masato Motomura, Tadahiro Kuroda. Crosstalk Rejection in 3-D-Stacked Interchip Communication With Blind Source Separation
731 -- 735Ahmed Fahmy, Jun Liu, Taewook Kim, Nima Maghari. An All-Digital Scalable and Reconfigurable Wide-Input Range Stochastic ADC Using Only Standard Cells
736 -- 740Yudong Zhang, Woogeun Rhee, Taeik Kim, Hojin Park, Zhihua Wang. A 0.35-0.5-V 18-152 MHz Digitally Controlled Relaxation Oscillator With Adaptive Threshold Calibration in 65-nm CMOS
741 -- 745Claudia Palattella, Eric A. M. Klumperink, Jiayun Ru, Bram Nauta. A Sensitive Method to Measure the Integral Nonlinearity of a Digital-to-Time Converter Based on Phase Modulation
746 -- 750Antoine Bonnetat, Jean-Michel Hode, Guillaume Ferré, Dominique Dallet. Correlation-Based Frequency-Response Mismatch Compensation of Quad-TIADC Using Real Samples
751 -- 755Cheng Huang, Philip K. T. Mok. A Delay-Line-Based Voltage-to-Duty-Cycle Controller for High-Frequency PWM Switching Converters
756 -- 760Xiaoyang Wang, Xiong Zhou, Qiang Li. A High-Speed Energy-Efficient Segmented Prequantize and Bypass DAC for SAR ADCs
761 -- 765Qing Xie, Xue Lin, Yanzhi Wang, Shuang Chen, Mohammad Javad Dousti, Massoud Pedram. Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries
766 -- 770Ioannis Tsatsaragkos, Vassilis Paliouras. Approximate Algorithms for Identifying Minima on Min-Sum LDPC Decoders and Their Hardware Implementation
771 -- 775Pavel Zahradnik, Michal Susta, Miroslav Vlcek, Boris Simák. Degree of Equiripple Narrow Bandpass FIR Filter
776 -- 780Naoki Shimada, Hiroyuki Torikai. A Novel Asynchronous Cellular Automaton Multicompartment Neuron Model
781 -- 785Yang Zhang, Yi Shen, Xiaoping Wang, Yanwen Guo. A Novel Design for a Memristor-Based or Gate
786 -- 790Shahar Kvatinsky, Misbah Ramadan, Eby G. Friedman, Avinoam Kolodny. VTEAM: A General Model for Voltage-Controlled Memristors
791 -- 795Bin Liu 0003, David J. Hill, Zhijie J. Sun. Mixed K-Dissipativity and Stabilization to ISS for Impulsive Hybrid Systems
796 -- 800Ming-Can Fan, Hai-Tao Zhang, Zongli Lin. Distributed Semiglobal Consensus With Relative Output Feedback and Input Saturation Under Directed Switching Networks
801 -- 805Jie Lian, Jiao Liu, Yan Zhuang. Mean Stability of Positive Markov Jump Linear Systems With Homogeneous and Switching Transition Probabilities
806 -- 810Ming Shen, Ying-Zheng Yin, Hao Jiang, Tong Tian, Ole K. Jensen, Jan H. Mikkelsen. A 0.76-pJ/Pulse 0.1-1 Gpps Microwatt IR-UWB CMOS Pulse Generator With Adaptive PSD Control Using a Limited Monocycle Precharge Technique
811 -- 815John W. Simpson-Porco, Florian Dörfler, Francesco Bullo. On Resistive Networks of Constant-Power Devices
816 -- 820Muhammad Aamir, Saad Mekhilef, Hee-Jun Kim. High-Gain Zero-Voltage Switching Bidirectional Converter With a Reduced Number of Switches

Volume 62-II, Issue 7

621 -- 625Yutao Wang, Zhangming Zhu, Jiaojiao Yao, Yintang Yang. A 0.45-V, 14.6-nW CMOS Subthreshold Voltage Reference With No Resistors and No BJTs
626 -- 630Ashis Maity, Amit Patra. Dynamic Slew Enhancement Technique for Improving Transient Response in an Adaptively Biased Low-Dropout Regulator
631 -- 635Jungho Kim, Young-Hwa Kim, KwangSeok Kim, Wonsik Yu, SeongHwan Cho. A Hybrid-Domain Two-Step Time-to-Digital Converter Using a Switch-Based Time-to-Voltage Converter and SAR ADC
636 -- 640Xianhe Huang, Dong Liu, Yan Wang, Pingping Chen, Wei Fu. 100-MHz Low-Phase-Noise Microprocessor Temperature-Compensated Crystal Oscillator
641 -- 645Hyongmin Lee, Jisung Kim, Dongwoo Ha, Taehoon Kim, Suhwan Kim. Differentiating ASK Demodulator for Contactless Smart Cards Supporting VHBR
646 -- 650Ameya Bhide, Amin Ojani, Atila Alvandpour. Effect of Clock Duty-Cycle Error on Two-Channel Interleaved ΔΣ DACs
651 -- 655Renzhi Liu, Larry Pileggi. Low-Overhead Self-Healing Methodology for Current Matching in Current-Steering DAC
656 -- 660Wenjuan Guo, Tsedeniya Abraham, Steven Chiang, Chintan Trehan, Masahiro Yoshioka, Nan Sun. ref Compensation Technique for Voltage-Mode R-2R DACs
661 -- 665José O. Cadenas, Graham M. Megson, Robert Simon Sherratt. Median Filter Architecture by Accumulative Parallel Counters
666 -- 670Zhen Gao, Pedro Reviriego, Zhan Xu, Xin Su, Jing Wang, Juan Antonio Maestro. Efficient Coding Schemes for Fault-Tolerant Parallel Filters
671 -- 675Wenfeng Zhao, Anastacia B. Alvarez, Yajun Ha. A 65-nm 25.1-ns 30.7-fJ Robust Subthreshold Level Shifter With Wide Conversion Range
676 -- 680Isha Gupta, Alexantrou Serb, Radu Berdan, Ali Khiat, Anna Regoutz, Themis Prodromakis. A Cell Classifier for RRAM Process Development
681 -- 685Pablo Amil, Cecilia Cabeza, Arturo C. Marti. Exact Discrete-Time Implementation of the Mackey-Glass Delayed Model
686 -- 690Oleg Vityaz. Feedback Modeling Using Bilateral Two Ports
691 -- 695Fayssal Arichi, Mohamed Djemai, Brahim Cherki, Noureddine Manamanni. Continuous and Discrete State Estimation for a Class of Nonlinear Switched Systems
696 -- 700Dena Karimipour, Farzad R. Salmasi. Stability Analysis of AC Microgrids With Constant Power Loads Based on Popov's Absolute Stability Criterion
701 -- 705Robert W. Jackson. Time-Selective Sampling Receiver for Interference Rejection
706 -- 710Huiyun Liu, Yongxiang Xia. Optimal Resource Allocation in Complex Communication Networks
711 -- 715Mark Stoopman, Yao Liu, Hubregt J. Visser, Kathleen Philips, Wouter A. Serdijn. Codesign of Electrically Short Antenna-Electronics Interfaces in the Receiving Mode
716 -- 720Jing Xue, Hoi Lee. A 2-MHz 60-W Zero-Voltage-Switching Synchronous Noninverting Buck-Boost Converter With Reduced Component Values

Volume 62-II, Issue 6

517 -- 521Surya Sharma, Trond Ytterdal. In-Probe Ultrasound Beamformer Utilizing Switched-Current Analog RAM
522 -- 526Kuduck Kwon. A 50- to 300-MHz CMOS Gm-C Tracking Filter Based on Parallel Operation of Saturation and Triode Transconductors for Digital TV Tuner ICs
527 -- 532Yonghong Tao, Andreas Hierlemann, Yong Lian. A Frequency-Domain Analysis of Latch Comparator Offset due to Load Capacitor Mismatch
533 -- 537Jin-hee Kwon, Mincheol Seo, Hwiseob Lee, Je-hyun Gu, Junghyun Ham, Keum-Cheol Hwang, Kang-Yoon Lee, Cheon-Seok Park, Youngoo Yang. Broadband Doherty Power Amplifier Based on Asymmetric Load Matching Networks
538 -- 542Sen Tao, Emmanuel Abbe, Naveen Verma. Statistical ADC Enhanced by Pipelining and Subranging
543 -- 547Bertrand Lonla Moffo, Jean Mbihi. A Novel Digital Duty-Cycle Modulation Scheme for FPGA-Based Digital-to-Analog Conversion
548 -- 552Chih-Lu Wei, Ting-Kuei Kuan, Shen-Iuan Liu. A Subharmonically Injection-Locked PLL With Calibrated Injection Pulsewidth
553 -- 557Lianhong Zhou, Muthukumaraswamy Annamalai Arasu, Minkyu Je, Libin Yao, Chun-Huat Heng. A Fully Integrated Temperature-Independent Reconfigurable Acoustic Transmitter With Digital On-Chip Resistor Temperature Coefficient Calibration for Oil Drilling Application
558 -- 562Geunyong Lee, Jonghun Jung, Jong-In Song. A Multiband Power Amplifier With a Reconfigurable Output-Matching Network for 10-MHz BW LTE Mobile Phone Applications
563 -- 567Matheus Trevisan Moreira, Michel Evandro Arendt, Fernando Gehm Moraes, Ney Laert Vilar Calazans. Static Differential NCL Gates: Toward Low Power
568 -- 572Arash Ardakani, Mahdi Shabany. A Novel Area-Efficient VLSI Architecture for Recursion Computation in LTE Turbo Decoders
573 -- 577Aynaz Vatankhahghadim, W. Song, Ali Sheikholeslami. A Variation-Tolerant MRAM-Backed-SRAM Cell for a Nonvolatile Dynamically Reconfigurable FPGA
578 -- 582Yupeng Hu, Shun Song, Sheng Xiao, Quan Xu, Nong Xiao, Zheng Qin. A Dominating Error Region Strategy for Improving the Bit-Flipping LDPC Decoder of SSDs
583 -- 587Ghassem Jaberipur, Seyed Hamed Fatemi Langroudi. n-3) Adder via Double Representation of Residues in [0, 2]
588 -- 592Shih-Lun Chen, Huan-Rui Chang. Fully Pipelined Low-Cost and High-Quality Color Demosaicking VLSI Design for Real-Time Video Applications
593 -- 597Wen Yueh, Subho Chatterjee, Muneeb Zia, Swarup Bhunia, Saibal Mukhopadhyay. A Memory-Based Logic Block With Optimized-for-Read SRAM for Energy-Efficient Reconfigurable Computing Fabric
598 -- 602Tokunbo Ogunfunmi, Thomas K. Paul. The Quarternion Maximum Correntropy Algorithm
603 -- 607Xian Hui Mai, Du-Qu Wei, Bo Zhang, Xiao-Shu Luo. Controlling Chaos in Complex Motor Networks by Environment
608 -- 612Simran Singh, Mikko Valkama, Michael Epp, Wolfgang Schlecker. Frequency Response Mismatch Analysis in Time-Interleaved Analog I/Q Processing and ADCs
613 -- 617Gyung-Su Byun, Mir Mohammad Navidi. A Low-Power 4-PAM Transceiver Using a Dual-Sampling Technique for Heterogeneous Latency-Sensitive Network-on-Chip

Volume 62-II, Issue 4

317 -- 321Saber Amini, David A. Johns. A Flexible Charge-Balanced Ratiometric Open-Loop Readout System for Capacitive Inertial Sensors
322 -- 326Walter D. Leon-Salas. Low-Complexity Compression for Sensory Systems
327 -- 331Dong Soo Lee, Jae-Hyung Jang, Hyung-Gu Park, YoungGun Pu, Keum-Cheol Hwang, Youngoo Yang, Munkyo Seo, Kang-Yoon Lee. A Wide-Locking-Range Dual Injection-Locked Frequency Divider With an Automatic Frequency Calibration Loop in 65-nm CMOS
332 -- 336Anith Selvakumar, Antonio Liscidini. Current-Recycling Complex Filter for Bluetooth-Low-Energy Applications
337 -- 341Xiaoyang Wang, Hai Huang, Qiang Li. Design Considerations of Ultralow-Voltage Self-Calibrated SAR ADC
342 -- 346Peng Chen, Songbai He. Analysis of Inverse Class-E Power Amplifier at Subnominal Condition With 50% Duty Ratio
347 -- 351Ming-Lin Chuang, Ming-Tien Wu. Switchable Dual-Band Filter With Common Quarter-Wavelength Resonators
352 -- 356Lixue Kuang, Baoyong Chi, Haikun Jia, Wen Jia, Zhihua Wang. A 60-GHz CMOS Dual-Mode Power Amplifier With Efficiency Enhancement at Low Output Power
357 -- 361HoKyu Lee, Sejin Park, Chaegang Lim, Chulwoo Kim. A 100-nW 9.1-ENOB 20-kS/s SAR ADC for Portable Pulse Oximeter
362 -- 366Deepak Mishra, Swades De, Kaushik R. Chowdhury. Charging Time Characterization for Wireless RF Energy Transfer
367 -- 371Jingwei Hu, Wei Guo, Jizeng Wei, Ray C. C. Cheung. m) Using Modified Itoh-Tsujii Algorithms
372 -- 376Abdelkrim Kamel Oudjida, Nicolas Chaillet, Mohamed Lamine Berrandjia. r Arithmetic for Multiplication by a Constant: Further Results and Improvements
377 -- 381Siavash Bayat Sarmadi, Mohammad Farmani. m) Based on Trinomials
382 -- 386Sunjie Zhang, Zidong Wang, Derui Ding, Huisheng Shu, Tasawar Hayat, Abdullah M. Dobaie. On Design of Robust Fault Detection Filter in Finite-Frequency Domain With Regional Pole Assignment
387 -- 391Adam Neale, Maarten Jonkman, Manoj Sachdev. Adjacent-MBU-Tolerant SEC-DED-TAEC-yAED Codes for Embedded SRAMs
392 -- 396Anu Kalidas Muralidharan Pillai, Håkan Johansson. Prefilter-Based Reconfigurable Reconstructor for Time-Interleaved ADCs With Missing Samples
397 -- 401Amir Eghbali, Håkan Johansson. Design of Modulated Filter Banks and Transmultiplexers With Unified Initial Solutions and Very Few Unknown Parameters
402 -- 406Zhenyu Yin, Heng Tian, Guanhua Chen, Leon O. Chua. What are Memristor, Memcapacitor, and Meminductor?
407 -- 411Alexander Jimenez Triana, Guanrong Chen, Alain Gauthier. A Parameter-Perturbation Method for Chaos Control to Stabilizing UPOs
412 -- 416Kehan Zhu, Vishal Saxena, Xinyu Wu, Wan Kuang. Design Considerations for Traveling-Wave Modulator-Based CMOS Photonic Transmitters

Volume 62-II, Issue 3

217 -- 221Chua-Chin Wang, Wen-Je Lu, Tsung-Yi Tsai. Analysis of Calibrated On-Chip Temperature Sensor With Process Compensation for HV Chips
222 -- 225S. C. Dutta Roy. Characteristics of Single- and Multiple-Frequency Impedance Matching Networks
226 -- 230Yinan Wang, Håkan Johansson, Hui Xu. Adaptive Background Estimation for Static Nonlinearity Mismatches in Two-Channel TIADCs
231 -- 235B. Hoda Seyedhosseinzadeh, A. Nabavi. A MOS Parametric Integrator With Improved Linearity for SC ΣΔ Modulators
236 -- 240Hua Zhang, Ling Lu. A Low-Voltage Sense Amplifier for Embedded Flash Memories
241 -- 245Lin He, Guanglong Zhu, Fang Long, Yuncheng Zhang, Li Wang, Fujiang Lin, Libin Yao, Xicheng Jiang. A Multibit Delta-Sigma Modulator With Double Noise-Shaped Segmentation
246 -- 250Zushu Yan, Wei Wang, Pui-In Mak, Man Kay Law, Rui Paulo Martins. 2 32.4-µW Two-Stage Amplifier for pF-to-nF Load Using CM Frequency Compensation
251 -- 255Fengjiang Wu, Lujie Zhang, Jiandong Duan. A New Two-Phase Stationary-Frame-Based Enhanced PLL for Three-Phase Grid Synchronization
256 -- 260Jun Luo, Lei Zhang, Li Zhang, Yan Wang, Zhiping Yu. Behavioral Analysis and Optimization of CMOS CML Dividers for Millimeter-Wave Applications
261 -- 265Marko Neitola, Timo Rahkonen. Generalized Quadrature Data Weighted Averaging
266 -- 270Ren-Der Chen, Pei-Yin Chen, Chun-Hsien Yeh. A Low-Power Architecture for the Design of a One-Dimensional Median Filter
271 -- 275JinWoo Yoo, Jaewook Shin, PooGyeon Park. An Improved NLMS Algorithm in Sparse Systems Against Noisy Input Signals
276 -- 280Elizabeth Rita Samuel, Luc Knockaert, Tom Dhaene. Matrix-Interpolation-Based Parametric Model Order Reduction for Multiconductor Transmission Lines With Delays
281 -- 285Nankun Mu, Xiaofeng Liao, Tingwen Huang. Event-Based Consensus Control for a Linear Directed Multiagent System With Time Delay
286 -- 290Jose Augusto Lima, John M. W. Rogers, Rony E. Amaya. Optimization of EVM Through Diode Bias Control Using a Blind Algorithm Applied to Multiport Receivers
291 -- 295Long Zhao, Ke Li, Kan Zheng, M. Omair Ahmad. An Analysis of the Tradeoff Between the Energy and Spectrum Efficiencies in an Uplink Massive MIMO-OFDM System
296 -- 300Yixiao Wang, Le Ye, Huailin Liao, Ru Huang, Yangyuan Wang. Highly Reconfigurable Analog Baseband for Multistandard Wireless Receivers in 65-nm CMOS
301 -- 305Xin-Ru Lee, Chih-Wen Yang, Chih-Lung Chen, Hsie-Chia Chang, Chen-Yi Lee. An Area-Efficient Relaxed Half-Stochastic Decoding Architecture for Nonbinary LDPC Codes
306 -- 310Hoyoung Yoo, In-Cheol Park. Partially Parallel Encoder Architecture for Long Polar Codes
311 -- 315Santanu Kapat. Selectively Sampled Subharmonic-Free Digital Current Mode Control Using Direct Duty Control

Volume 62-II, Issue 2

101 -- 103Robert Rieger, Jaswinder Lota, Xiao Liu. Introduction to the Special Issue on Biomedical and Bioelectronic Circuits for Enhanced Diagnosis and Therapy
104 -- 108Aosen Wang, Wenyao Xu, Zhanpeng Jin, Fang Gong. Quantization Effects in an Analog-to-Information Front End in EEG Telemonitoring
109 -- 113Adam Page, Chris Sagedy, Emily Smith, Nasrin Attaran, Tim Oates, Tinoosh Mohsenin. A Flexible Multichannel EEG Feature Extractor and Classifier for Seizure Detection
114 -- 118Mahsa Shoaran, Claudio Pollo, Kaspar Schindler, Alexandre Schmid. A Fully Integrated IC With 0.85-μW/Channel Consumption for Epileptic iEEG Detection
119 -- 123Yao Zou, Jun Han, Sizhong Xuan, Shan Huang, Xinqian Weng, Dabin Fang, Xiaoyang Zeng. An Energy-Efficient Design for ECG Recording and R-Peak Detection Based on Wavelet Transform
124 -- 128Viviane S. Ghaderi, Dong Song, John Choma, Theodore W. Berger. Nonlinear Cognitive Signal Processing in Ultralow-Power Programmable Analog Hardware
129 -- 133Francis Rodes, M. Zhang, Romain Denieport, Xusheng Wang. Optimization of the Power Transfer Through Human Body With an Auto-Tuning System Using a Synchronous Switched Capacitor
134 -- 138Rashad Ramzan, Faiza Zafar. High-Efficiency Fully CMOS VCO Rectifier for Microwatt Resonant Wireless Power Transfer
139 -- 143You Zou, Stephen O'Driscoll. Implant Position Estimation Via Wireless Power Link
144 -- 148Maarten Strackx, Emiliano D'Agostino, Paul Leroux, Patrick Reynaert. Direct RF Subsampling Receivers Enabling Impulse-Based UWB Signals for Breast Cancer Detection
149 -- 153Kexu Sun, Zheng Gao, Ping Gui, Rui Wang, Ismail Oguzman, Xiaochen Xu, Karthik Vasanth, Qifa Zhou, K. Kirk Shung. pp Integrated Linear Amplifier for Ultrasonic Imaging Applications in a High-Voltage CMOS SOI Technology
154 -- 158Loucas Constantinou, Richard H. Bayford, Andreas Demosthenous. A Wideband Low-Distortion CMOS Current Driver for Tissue Impedance Analysis
159 -- 163Numa Couniot, David Bol, Olivier Poncelet, Laurent A. Francis, Denis Flandre. A Capacitance-to-Frequency Converter With On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers Up to 575 MHz
164 -- 168Gloria Huertas, Andrés Maldonado, Alberto Yufera, Adoración Rueda, José Luis Huertas. The Bio-Oscillator: A Circuit for Cell-Culture Assays
169 -- 173Chang-Hee Hyoung, Jung Hwan Hwang, Sung-Wen Kang, Seong-Ook Park, Youn Tae Kim. A Feasibility Study on the Adoption of Human Body Communication for Medical Service
174 -- 178Sina Viseh, Maysam Ghovanloo, Tinoosh Mohsenin. Toward an Ultralow-Power Onboard Processor for Tongue Drive System
179 -- 183J. F. Wu, A. M. S. Ang, Kai Man Tsui, H. C. Wu, Yeung Sam Hung, Y. Hu, J. N. F. Mak, Shing-Chow Chan, Z. G. Zhang. Efficient Implementation and Design of a New Single-Channel Electrooculography-Based Human-Machine Interface System
184 -- 188Lorenzo Bisoni, Caterina Carboni, Luigi Raffo, Nicola Carta, Massimo Barbaro. An HV-CMOS Integrated Circuit for Neural Stimulation in Prosthetic Applications
189 -- 193Hariprasad Chandrakumar, Dejan Markovic. A Simple Area-Efficient Ripple-Rejection Technique for Chopped Biosignal Amplifiers
194 -- 198Myungjin Han, Boram Kim, Yi-An Chen, Hyojung Lee, Seung-Han Park, Eunji Cheong, Jongill Hong, Gunhee Han, Youngcheol Chae. Bulk Switching Instrumentation Amplifier for a High-Impedance Source in Neural Signal Recording
199 -- 203Tzu-Yun Wang, Li-Han Liu, Sheng-Yu Peng. A Power-Efficient Highly Linear Reconfigurable Biopotential Sensing Amplifier Using Gate-Balanced Pseudoresistors
204 -- 208Liang Zuo, Syed K. Islam, Ifana Mahbub, Farhan Quaiyum. A Low-Power 1-V Potentiostat for Glucose Sensors
209 -- 213Thanh Trung Nguyen, Philipp Häfliger. A Submicrowatt Implantable Capacitive Sensor System for Biomedical Applications

Volume 62-II, Issue 12

1109 -- 1113Taehui Na, Jisu Kim, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. A Double-Sensing-Margin Offset-Canceling Dual-Stage Sensing Circuit for Resistive Nonvolatile Memory
1114 -- 1118Yan Han, Yuji Qian, Jun Sun, Shifeng Zhang. A Self-Calibration Technique for On-Chip Precise Clock Generator
1119 -- 1123Christian Venerus, Ian Galton. Quantization Noise Cancellation for FDC-Based Fractional-N PLLs
1124 -- 1128Lin He, Lele Jin, Jiaqi Yang, Fujiang Lin, Libin Yao, Xicheng Jiang. Self-Dithering Technique for High-Resolution SAR ADC Design
1129 -- 1133Jerry Lam, Tom A. D. Riley, Norman M. Filiol, John W. M. Rogers, Calvin Plett. A 0.009-1.4-GHz Frequency Synthesizer With Suppressed Transients During VCO Band Switching
1134 -- 1138Kyungmin Kim, Changsik Yoo. Variation-Tolerant Sensing Circuit for Spin-Transfer Torque MRAM
1139 -- 1143Yibo Fan, Leilei Huang, Yufeng Bai, Xiaoyang Zeng. A Parallel-Access Mapping Method for the Data Exchange Buffers Around DCT/IDCT in HEVC Encoders Based on Single-Port SRAMs
1144 -- 1148Mohamed Asan Basiri M, Noor Mahammad Sk. Configurable Folded IIR Filter Design
1149 -- 1153Xin Liu, Jun Zhou, Chao Wang, Kah-Hyong Chang, Jianwen Luo, Jingjing Lan, Lei Liao, Yat-Hei Lam, Yongkui Yang, Bo Wang, Xin Zhang, Wang Ling Goh, Tony Tae-Hyoung Kim, Minkyu Je. An Ultralow-Voltage Sensor Node Processor With Diverse Hardware Acceleration and Cognitive Sampling for Intelligent Sensing
1154 -- 1158Mohammad Kazemi, Engin Ipek, Eby G. Friedman. Energy-Efficient Nonvolatile Flip-Flop With Subnanosecond Data Backup Time for Fine-Grain Power Gating
1159 -- 1163Hamidreza Sadreazami, M. Omair Ahmad, M. N. Shanmukha Swamy. A Robust Multiplicative Watermark Detector for Color Images in Sparse Domain
1164 -- 1168Mariane R. Petraglia, Diego B. Haddad, Elias L. Marques. Normalized Subband Adaptive Filtering Algorithm With Reduced Computational Complexity
1169 -- 1173D. Moro-Frías, Carlos Aristoteles De la Cruz-Blas, María Teresa Sanz-Pascual. PWL Current-Mode CMOS Exponential Circuit Based on Maximum Operator
1174 -- 1178Yingjing Shi, Rui Li, Kok Lay Teo. Design of a Band-Stop Filter for a Space Shuttle Vehicle
1179 -- 1183Mrinal J. Sarmah, Syed Azeemuddin. A Circuit to Eliminate Serial Skew in High-Speed Serial Communication Channels
1184 -- 1188KyungMin Na, Heedon Jang, Hyunggun Ma, Yunho Choi, Franklin Bien. A 200-Mb/s Data Rate 3.1-4.8-GHz IR-UWB All-Digital Pulse Generator With DB-BPSK Modulation
1189 -- 1193Hen-Geul Yeh. Architectures for MIMO-OFDM Systems in Frequency-Selective Mobile Fading Channels
1194 -- 1198Kuo-Chun Wu, Hung-Hsien Wu, Chia-Ling Wei. Analysis and Design of Mixed-Mode Operation for Noninverting Buck-Boost DC-DC Converters
1199 -- 1203Lisong Li, Yuan Gao, Philip K. T. Mok, I.-Shan Michael Sun, Namkyu Park. A 16-28-W 92.8%-Efficiency Monolithic Quasi-Resonant LED Driver With Constant-Duty-Ratio Frequency Regulator

Volume 62-II, Issue 11

1013 -- 1017Aidin Tofangdarzade, Ali Jalali. An Efficient Method to Analyze Lock Range in Ring Oscillators With Multiple Injections
1018 -- 1022Nghia Tang, Wookpyo Hong, Jong-Hoon Kim, Youngoo Yang, Deukhyoun Heo. A Sub-1-V Bulk-Driven Opamp With an Effective Transconductance-Stabilizing Technique
1023 -- 1027Dong-Hoon Jung, Young-Jae An, Kyungho Ryu, Jung-Hyun Park, Seong-Ook Jung. All-Digital Fast-Locking Delay-Locked Loop Using a Cyclic-Locking Loop for DRAM
1028 -- 1032Young-Joon Kim, Hansraj S. Bhamra, Jithin Joseph, Pedro P. Irazoqui. An Ultra-Low-Power RF Energy-Harvesting Transceiver for Multiple-Node Sensor Application
1033 -- 1037Kai-Hui Zeng, Ting-Kuei Kuan, Shen-Iuan Liu. A Subharmonically Injection-Locked All-Digital PLL Without Main Divider
1038 -- 1042Daniel J. White, Michael W. Hoffman, Sina Balkir. Digital Offset Cancellation for Long Time-Constant Subthreshold OTA-C Integrators
1043 -- 1047Changhyuk Lee, Wei Chao, SunWoo Lee, James Hone, Alyosha C. Molnar, Sang Hoon Hong. A Low-Power Edge Detection Image Sensor Based on Parallel Digital Pulse Computation
1048 -- 1052Il Won Seo, Eun Sik Jung, Man Young Sung. An Analog Front-End IC Design for 320 × 240 Microbolometer Array Applications
1053 -- 1057Rakesh Kumar Palani, Ramesh Harjani. A 220-MS/s 9-Bit 2X Time-Interleaved SAR ADC With a 133-fF Input Capacitance and a FOM of 37 fJ/conv in 65-nm CMOS
1058 -- 1062Duksoo Kim, Byungjoon Kim, Sangwook Nam. m3 Canceling
1063 -- 1067Yue Hu, Hariprasath Venkatram, Nima Maghari, Un-Ku Moon. A Continuous-Time ΔΣ ADC Utilizing Time Information for Two Cycles of Excess Loop Delay Compensation
1068 -- 1072Jaehwan Jung, Hoyoung Yoo, Youngjoo Lee, In-Cheol Park. Efficient Parallel Architecture for Linear Feedback Shift Registers
1073 -- 1077Chang-Hung Tsai, Yu-Ting Chih, Wing Hung Wong, Chen-Yi Lee. A Hardware-Efficient Sigmoid Function With Adjustable Precision for a Neural Network System
1078 -- 1082Zia Uddin Ahamed Khan, Mohammed Benaissa. Throughput/Area-efficient ECC Processor Using Montgomery Point Multiplication on FPGA
1083 -- 1087Jinqi Liu, Qianjian Xing, Xiaobo Yin, Xiubin Mao, Feng Yu. Pipelined Architecture for a Radix-2 Fast Walsh-Hadamard-Fourier Transform Algorithm
1088 -- 1092Xinyu Wu, Vishal Saxena, Kehan Zhu, Sakkarapani Balagopal. A CMOS Spiking Neuron for Brain-Inspired Neural Networks With Resistive Synapses and In Situ Learning
1093 -- 1097Chu Yu, Yu-Shan Su. Two-Mode Reed-Solomon Decoder Using A Simplified Step-by-Step Algorithm
1098 -- 1102Mostafa Rizk, Amer Baghdadi, Michel Jézéquel, Yasser Mohanna, Youssef Atat. NISC-Based Soft-Input-Soft-Output Demapper
1103 -- 1107Hadi Alasti, Saeed Gazor. 2n QAM

Volume 62-II, Issue 10

917 -- 921Jianhui Wu, Zixuan Wang, Chao Chen, Cheng Huang, Meng Zhang. A 2.4-GHz All-Digital PLL With a 1-ps Resolution 0.9-mW Edge-Interchanging-Based Stochastic TDC
922 -- 926Qi Zhang, You-Jiang Liu, Jie Zhou, Shubo Jin, Wenhua Chen, Silong Zhang. A Band-Divided Memory Polynomial for Wideband Digital Predistortion With Limited Bandwidth Feedback
927 -- 931Tan Yang, Jeremy Holleman. An Ultralow-Power Low-Noise CMOS Biopotential Amplifier for Neural Recording
932 -- 936Min-Kyu Kim, Seong-Kwan Hong, Oh-Kyong Kwon. A Small-Area and Energy-Efficient 12-bit SA-ADC With Residue Sampling and Digital Calibration for CMOS Image Sensors
937 -- 941Chengyue Yu, Liter Siek. An Area-Efficient Current-Mode Bandgap Reference With Intrinsic Robust Start-Up Behavior
942 -- 946Linfei Guo, Tong Ge, Joseph S. Chang. An Ultralow-Power Overcurrent Protection Circuit for Micropower Class D Amplifiers
947 -- 951Jin-Jyh Su, Kemal S. Demirci, Oliver Brand. A Low-Leakage Body-Guarded Analog Switch in 0.35-μm BiCMOS and Its Applications in Low-Speed Switched-Capacitor Circuits
952 -- 956Peng Zhu, Xinpeng Xing, Georges G. E. Gielen. A 40-MHz Bandwidth 0-2 MASH VCO-Based Delta-Sigma ADC With 35-fJ/Step FoM
957 -- 961Pietro Monsurrò, Alessandro Trifiletti. Subsampling Models of Bandwidth Mismatch for Time-Interleaved Converter Calibration
962 -- 966Ivan Padilla-Cantoya, Paul M. Furth. Enhanced Grounded Capacitor Multiplier and Its Floating Implementation for Analog Filters
967 -- 971Jinook Song. Hardware Reduction of MASH Delta-Sigma Modulator Based on Partially Folded Architecture
972 -- 976Xinming Huang, Wei Wang 0053. A Novel and Efficient Design for an RSA Cryptosystem With a Very Large Key Size
977 -- 981Miquel L. Alomar, Miguel C. Soriano, Miguel Angel Escalona-Moran, Vincent Canals, Ingo Fischer, Claudio R. Mirasso, José Luis Rosselló. Digital Implementation of a Single Dynamical Node Reservoir Computer
982 -- 986Ying Wei, Shaoguang Huang, Xiaojie Ma. A Novel Approach to Design Low-Cost Two-Stage Frequency-Response Masking Filters
987 -- 991Junlong Zhou, Jianming Yan, Tongquan Wei, Kaijie Wu, Xiaodao Chen, Shiyan Hu. Sharp Corner/Edge Recognition in Domestic Environments Using RGB-D Camera Systems
992 -- 996Sung-Hyuk Yim, Han-Sol Lee, Woo-Jin Song. A Proportionate Diffusion LMS Algorithm for Sparse Distributed Estimation
997 -- 1001Danhua He, Liguang Xu. Ultimate Boundedness of Nonautonomous Dynamical Complex Networks Under Impulsive Control
1002 -- 1006Xiaobing Nie, Wei Xing Zheng. Complete Stability of Neural Networks With Nonmonotonic Piecewise Linear Activation Functions
1007 -- 1011Nankun Mu, Xiaofeng Liao, Tingwen Huang. Leader-Following Consensus in Second-Order Multiagent Systems via Event-Triggered Control With Nonperiodic Sampled Data

Volume 62-II, Issue 1

1 -- 5Hyo-Sung Lee, Byung-Wook Min. W-Band CMOS 4-Bit Phase Shifter for High Power and Phase Compression Points
6 -- 10Hyo-Sung Lee, Kyungwon Kim, Byung-Wook Min. On-Chip T/R Switchable Balun for 5- to 6-GHz WLAN Applications
11 -- 15Pablo Martinez Nuevo, Sharvil Patil, Yannis P. Tsividis. Derivative Level-Crossing Sampling
16 -- 20Chi-Hao Hong, Chuan-Yi Wu, Yu-Te Liao. Robustness Enhancement of a Class-C Quadrature Oscillator Using Capacitive Source Degeneration Coupling
21 -- 25Guishu Liang, Long Ma. Adjoint of a Linear Multiport Element Based on Generalized Duality
26 -- 30Lin He, Jiaqi Yang, Duona Luo, Lele Jin, Shuangshuang Zhang, Fujiang Lin, Libin Yao, Xicheng Jiang. A Speed-Enhancing Dual-Trial Instantaneous Switching Architecture for SAR ADCs
31 -- 35Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene. Development of an Ultralow-Power Injection-Locked PSK Receiver Architecture
36 -- 40Vyacheslav A. Buts, Dmytro M. Vavriv, Oleg G. Nechayev, Dmytro V. Tarasov. A Simple Method for Generating Electromagnetic Oscillations
41 -- 45Zhanjun Bai, Xing Zhou, Ralph D. Mason, Gord Allan. Low-Phase Noise Clock Distribution Network Using Rotary Traveling-Wave Oscillators and Built-In Self-Test Phase Tuning Technique
46 -- 50Jingcheng Zhuang, Khurram Waheed, Robert Bogdan Staszewski. Design of Spur-Free $\Sigma\Delta$ Frequency Tuning Interface for Digitally Controlled Oscillators
51 -- 55Donguk Kim, Subin Choi, Jaehyouk Choi, Jae-Joon Kim. A Reconfigurable Multiphase $LC$-Ring Structure for Programmable Frequency Multiplication
56 -- 60Ming Zhao, Xiaolin Zhang, Ling Zhao, Chen Lee. Design of a High-Throughput QC-LDPC Decoder With TDMP Scheduling
61 -- 64Youngjoo Lee, Bongjin Kim, Jaehwan Jung, In-Cheol Park. Low-Complexity Tree Architecture for Finding the First Two Minima
65 -- 69Chen-Yang Lin, Cheng-Chi Wong, Hsie-Chia Chang. An Area Efficient Radix-4 Reciprocal Dual Trellis Architecture for a High-Code-Rate Turbo Decoder
70 -- 74Chao Wang, Jun Zhou, Lei Liao, Jingjing Lan, Jianwen Luo, Xin Liu, Minkyu Je. Near-Threshold Energy- and Area-Efficient Reconfigurable DWPT/DWT Processor for Healthcare-Monitoring Applications
75 -- 79Peng Ouyang, Shouyi Yin, Yuchi Zhang, Leibo Liu, Shaojun Wei. A Fast Integral Image Computing Hardware Architecture With High Power and Area Efficiency
80 -- 84Meng-Fan Chang, Shu-Meng Yang, Chia-Chen Kuo, Ting-Chin Yang, Che-Ju Yeh, Tun-Fei Chien, Li-Yue Huang, Shyh-Shyuan Sheu, Pei-Ling Tseng, Yu-Sheng Chen, Frederick T. Chen, Tzu-Kun Ku, Ming-Jinn Tsai, Ming-Jer Kao. Set-Triggered-Parallel-Reset Memristor Logic for High-Density Heterogeneous-Integration Friendly Normally Off Applications
85 -- 89Yu Zhao, Guanghui Wen, Zhisheng Duan, Guanrong Chen. Adaptive Consensus for Multiple Nonidentical Matching Nonlinear Systems: An Edge-Based Framework
90 -- 94Junyi Duan, Guo-Ping Jiang, Hua Yang. Reference-Adaptive CDSK: An Enhanced Version of Correlation Delay Shift Keying
95 -- 99Jiajing Wu, Chi K. Tse, Francis C. M. Lau. Optimizing Performance of Communication Networks: An Application of Network Science