Journal: IEEE Design & Test of Computers

Volume 40, Issue 6

4 -- 0Partha Pratim Pande. The 2023 Networks-on-Chip (NOCS) Symposium
5 -- 6Mahdi Nikdast, Miquel Moretó, Masoumeh Ebrahimi, Sujay Deb. Special Issue on the 2023 International Symposium on Networks-on-Chip (NOCS 2023)
7 -- 17Tim Fischer 0001, Michael Rogenmoser, Matheus A. Cavalcante, Frank K. Gürkaynak, Luca Benini. FlooNoC: A Multi-Tb/s Wide NoC for Heterogeneous AXI4 Traffic
18 -- 29Shun Nagasaki, Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai. Dynamically Reconfigurable Network Protocol for Shape-Changeable Computer System
30 -- 38Zhonghai Lu. PiN: Processing in Network-on-Chip
39 -- 50Lingxiao Zhu, Wenjie Fan, Chenyang Dai, Shize Zhou, Yongqi Xue, Zhonghai Lu, Li Li 0003, Yuxiang Fu. A NoC-Based Spatial DNN Inference Accelerator With Memory-Friendly Dataflow
51 -- 63K. Neethu, K. C. Sharin Shahana, Rekha K. James, John Jose, Sumit K. Mandal. ELEMENT: Energy-Efficient Multi-NoP Architecture for IMC-Based 2.5-D Accelerator for DNN Training
64 -- 75Gabriele Tombesi, Joseph Zuckerman, Paolo Mantovani, Davide Giri, Maico Cassel dos Santos, Tianyu Jia, David Brooks 0001, Gu-Yeon Wei, Luca P. Carloni. SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs
76 -- 85Kamil Khan, Sudeep Pasricha. A Reinforcement Learning Framework With Region-Awareness and Shared Path Experience for Efficient Routing in Networks-on-Chip
86 -- 99Zeyu Chen, Ankur Bindal, Vaidehi Garg, Tushar Krishna. SPOCK: Reverse Packet Traversal for Deadlock Recovery
100 -- 111Shruti Yadav Narayana, Emily Shriver, Kenneth O'Neal, Nuriye Yildirim, Khamida Begaliyeva, Ümit Y. Ogras. Similarity-Based Fast Analysis of Data Center Networks
112 -- 124Shruti Yadav Narayana, Sumit K. Mandal, Raid Ayoub, Mohammad M. Islam, Michael Kishinevsky, Ümit Y. Ogras. Fast Analysis Using Finite Queuing Model for Multilayer NoCs
125 -- 135Atul Kumar, Dipika Deb, Shirshendu Das, Palash Das. edAttack: Hardware Trojan Attack on On-Chip Packet Compression
136 -- 148Ibrahim Krayem, Joel Ortiz Sosa, Cédric Killian, Daniel Chillet. Analytical Model for Performance Evaluation of Token-Passing-Based WiNoCs
149 -- 162Shuo Huai, Hao Kong, Xiangzhong Luo, Di Liu 0002, Ravi Subramaniam, Christian Makaya, Qian Lin 0001, Weichen Liu. On Hardware-Aware Design and Optimization of Edge Intelligence
163 -- 174Junhuan Yang, Lei Yang. Hardware/Software Coexploration for Hyperdimensional Computing on Network-on-Chip Architecture
175 -- 184Mingfeng Lan, Mengquan Li, Jie Xiong, Weichen Liu, Chubo Liu, Kenli Li 0001. Automated Optical Accelerator Search: Expediting Green and Ubiquitous DNN-Powered Intelligence

Volume 40, Issue 5

4 -- 0Partha Pratim Pande. The 2022 Symposium on Integrated Circuits and Systems Design (SBCCI 2022)
5 -- 6Nuno Roma, Bruno Zatt. SBCCI 2022
7 -- 14Timothy Martin, Charlotte Barnes, Gary Gréwal, Shawki Areibi. Integrating Machine-Learning Probes in FPGA CAD: Why and How?
15 -- 25Hoai Luan Pham, Thi Hong Tran, Le Vu Trung Duong, Yasuhiko Nakashima. Flexible and Scalable BLAKE/BLAKE2 Coprocessor for Blockchain-Based IoT Applications
26 -- 33Marcel Moscarelli Corrêa, Daniel Palomino 0001, Guilherme Corrêa 0001, Luciano Agostini. Heuristic-Based Algorithms for Low-Complexity AV1 Intraprediction
34 -- 41Sandro Matheus V. N. Marques, Fábio Diniz Rossi, Marcelo Caggiani Luizelli, Antonio Carlos Schneider Beck, Arthur Francisco Lorenzon. Seamless Thermal Optimization of Parallel Workloads
42 -- 51Rafael Follmann Faccenda, Gustavo Comarú, Luciano Lores Caimi, Fernando Gehm Moraes. SeMAP - A Method to Secure the Communication in NoC-Based Many-Cores
52 -- 61Praise O. Farayola, Ekaniyere Oko-Odion, Shravan K. Chaganti, Abalhassan Sheikh, Srivaths Ravi 0001, Degang Chen 0001. Site-to-Site Variation in Analog Multisite Testing: A Survey on Its Detection and Correction
62 -- 69Seyed-Sajad Ahmadpour, Nima Jafari Navimipour, Ali Nawaz Bahar, Mohammad Mosleh, Senay Yalçin. An Energy-Aware Nanoscale Design of Reversible Atomic Silicon Based on Miller Algorithm
70 -- 85Vincent Meyers, Dennis Gnad, Mehdi Baradaran Tahoori. Active and Passive Physical Attacks on Neural Network Accelerators
86 -- 95Md Sami Ul Islam Sami, Hadi Mardani Kamali, Farimah Farahmandi, Fahim Rahman, Mark M. Tehranipoor. Enabling Security of Heterogeneous Integration: From Supply Chain to In-Field Operations
96 -- 103Giovanni De Micheli. Strange Loops in Design and Technology: 59th DAC Keynote Speech
104 -- 112Ian O'Connor, Robert Wille, Andy D. Pimentel, Valeria Bertacco. Postpandemic Conferences: The DATE 2023 Experience
113 -- 114Naghmeh Karimi. The 41st IEEE VLSI Test Symposium
115 -- 0Scott Davidson. Calling Yourself Back

Volume 40, Issue 4

4 -- 0Partha Pratim Pande. 40th IEEE VLSI Test Symposium 2022
5 -- 16Bora Bilgic, Sule Ozev. Low-Cost Structural Monitoring of Analog Circuits for Secure and Reliable Operation
17 -- 24Amit Pandey, Brendan Tully, Abhijeet Samudra, Ajay Nagarandal, Karthikeyan Natarajan, Rahul Singhal. Novel Technique for Manufacturing, System-Level, and In-System Testing of Large SoC Using Functional Protocol-Based High-Speed I/O
25 -- 33Mahmut Yilmaz, Pavan Kumar Datla Jagannadha, Kaushik Narayanun, Shantanu Sarangi, Francisco Da Silva, Joe Sarmiento. NVIDIA MATHS: Mechanism to Access Test-Data Over High-Speed Links
34 -- 41Aibin Yan, Yuting He, Xiaoxiao Niu, Jie Cui 0004, Tianming Ni, Zhengfeng Huang, Patrick Girard 0001, Xiaoqing Wen. A Highly Robust and Low-Power Flip-Flop Cell With Complete Double-Node-Upset Tolerance for Aerospace Applications
42 -- 50Soyed Tuhin Ahmed, Mehdi B. Tahoori. Fault-Tolerant Neuromorphic Computing With Memristors Using Functional ATPG for Efficient Recalibration
51 -- 60Juan-David Guerrero-Balaguera, Josie E. Rodriguez Condia, Matteo Sonza Reorda. STLs for GPUs: Using High-Level Language Approaches
61 -- 68K. Ramakrishna Kini, Muddu Madakyaru, Fouzi Harrou, Ying Sun 0002. Detecting Pediatric Foot Deformities Using Plantar Pressure Measurements: A Semisupervised Approach
69 -- 77Boris Orostica, Isaac Núñez, Tamara Matúte, Felipe Núñez 0001, Fernan Federici. Building an Open-Source DNA Assembler Device
78 -- 0Scott Davidson. Our Gated Community

Volume 40, Issue 3

4 -- 0Partha Pratim Pande. Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems
5 -- 7Alberto Bosio, Mario Barbareschi, Alessandro Savino, Jie Han 0001, Jürgen Teich. Special Issue on Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems
8 -- 16Honorio Martín, Sophie Dupuis, Giorgio Di Natale, Luis Entrena. Using Approximate Circuits Against Hardware Trojans
17 -- 25Hao Cai, Yaoru Hou, Mengdi Zhang, Bo Liu 0019, Lirida Alves de Barros Naviner. Dependable STT-MRAM With Emerging Approximation and Speculation Paradigms
26 -- 35Bo Liu 0019, Hao Cai, Zilong Zhang, Xiaoling Ding, Renyuan Zhang, Yu Gong, Zhen Wang 0019, Wei Ge, Jun Yang 0006. Multiplication Circuit Architecture for Error- Tolerant CNN-Based Keywords Speech Recognition
36 -- 44Víctor Jiménez, Mario Rodríguez, Marc Domínguez, Josep Sans, Ivan Diaz, Luca Valente, Vito Luca Guglielmi, Josue V. Quiroga, R. Ignacio Genovese, Nehir Sönmez, Oscar Palomar, Miquel Moretó. Functional Verification of a RISC-V Vector Accelerator
45 -- 52Chung-Huang Yeh, Jwu E. Chen. Recycling Test Methods to Improve Test Capacity and Increase Chip Shipments
53 -- 61Abhinav Goel, Caleb Tung, Nick Eliopoulos, George K. Thiruvathukal, Amy Wang, Yung-Hsiang Lu, James C. Davis 0001. Tree-Based Unidirectional Neural Networks for Low-Power Computer Vision
62 -- 63Atsushi Takahashi. Report on the 28th Asia and South Pacific Design Automation Conference
64 -- 67Nicola Nicolici. Interview With Prof. Sung-Mo (Steve) Kang
68 -- 69Peter M. Silverberg, Kathleen M. McDevitt. Philadelphia Section Honors Grace Hopper

Volume 40, Issue 2

4 -- 0Partha Pratim Pande. Special Issue on Testability and Dependability of Artificial Intelligence Hardware
5 -- 7Fei Su, Chunsheng Liu, Haralampos-G. Stratigopoulos. Special Issue on Testability and Dependability of Artificial Intelligence Hardware
8 -- 58Fei Su, Chunsheng Liu, Haralampos-G. Stratigopoulos. Testability and Dependability of AI Hardware: Survey, Trends, Challenges, and Perspectives
59 -- 66Elbruz Ozen, Alex Orailoglu. Shaping Resilient AI Hardware Through DNN Computational Feature Exploitation
67 -- 74Timoteo García Bertoa, Giulio Gambardella, Nicholas J. Fraser, Michaela Blott, John McAllister. Fault-Tolerant Neural Network Accelerators With Selective TMR
75 -- 81Patrik Omland, Yang Peng, Michael Paulitsch, Jorge Parra, Gustavo Espinosa, Abishai Daniel, Gereon Hinz, Alois C. Knoll. API-Based Hardware Fault Simulation for DNN Accelerators
82 -- 89Sanmitra Banerjee, Mahdi Nikdast, Krishnendu Chakrabarty. On the Impact of Uncertainties in Silicon-Photonic Neural Networks
90 -- 99Shamik Kundu, Arnab Raha, Suvadeep Banerjee, Suriyaprakash Natarajan, Kanad Basu. Analysis and Mitigation of DRAM Faults in Sparse-DNN Accelerators
100 -- 108Ankita Paul, Shihao Song, Twisha Titirsha, Anup Das 0001. On the Mitigation of Read Disturbances in Neuromorphic Inference Hardware
109 -- 117Josie E. Rodriguez Condia, Felipe Augusto da Silva, Ahmet Çagri Bagbaga, Juan-David Guerrero-Balaguera, Said Hamdioui, Christian Sauer 0001, Matteo Sonza Reorda. Using STLs for Effective In-Field Test of GPUs
118 -- 126Taochen Gu, Fayu Wan, Jingjie Zhou, Qizheng Ji, Binhong Li, Blaise Ravelo. T-Topology Coupler-Based Bandpass Negative Group Delay Active Circuit Design and Test
127 -- 136Tao Zhang, Fahim Rahman, Mark M. Tehranipoor, Farimah Farahmandi. FPGA-Chain: Enabling Holistic Protection of FPGA Supply Chain With Blockchain Technology
137 -- 138Tulika Mitra. The 2022 International Conference on Computer-Aided Design (ICCAD)
139 -- 0Scott Davidson. Is There an Answer?

Volume 40, Issue 1

4 -- 0Partha Pratim Pande. Machine Learning for CAD/EDA
5 -- 7Ulf Schlichtmann, Bing Li 0005, Bei Yu 0001, Raviv Gal. Guest Editors' Introduction: Special Issue on Machine Learning for CAD/EDA
8 -- 16Andrew B. Kahng. Machine Learning for CAD/EDA: The Road Ahead
17 -- 33Tinghuan Chen, Grace Li Zhang, Bei Yu 0001, Bing Li 0005, Ulf Schlichtmann. Machine Learning in Advanced IC Design: A Methodological Survey
34 -- 42Styliani Tompazi, Ioannis Tsiokanos, Jesús Martínez del Rincón, Georgios Karakonstantis. Estimating Code Vulnerability to Timing Errors Via Microarchitecture-Aware Machine Learning
43 -- 51Lorenzo Servadei, Jin Hwa Lee, José Antonio Arjona-Medina, Michael Werner, Sepp Hochreiter, Wolfgang Ecker, Robert Wille. Deep Reinforcement Learning for Optimization at Early Design Stages
52 -- 61Cheng Zhuo, Di Gao, Yuan Cao, Tianhao Shen, Li Zhang 0021, Jinfang Zhou, Xunzhao Yin. A DVFS Design and Simulation Framework Using Machine Learning Models
62 -- 69Peng Cao 0002, Tai Yang, Kai Wang, Wei Bao, Hao Yan. Topology-Aided Multicorner Timing Predictor for Wide Voltage Design
70 -- 76Haoxing Ren, Brucek Khailany, Matthew Fojtik, Yanqing Zhang. Machine Learning and Algorithms: Let Us Team Up for EDA
77 -- 84Luis Francisco, W. Rhett Davis, Paul D. Franzon. A Deep Transfer Learning Design Rule Checker With Synthetic Training
85 -- 95Srinivasan Subramaniyan, Oscar Ferraz, M. R. Ashuthosh, Santosh Krishna, Guohui Wang, Joseph R. Cavallaro, Vítor Silva 0001, Gabriel Falcão 0001, Madhura Purnaprajna. Enabling High-Level Design Strategies for High-Throughput and Low-Power NB-LDPC Decoders
96 -- 104Blaise Ravelo, Alexandre Douyère, Yang Liu, Wenceslas Rahajandraibe, Fayu Wan, George Chan, Mathieu Guerin. Fully Microstrip Three-Port Circuit Bandpass NGD Design and Test
105 -- 107Charles Augustine, Hai Helen Li. ISLPED 2022: An Experience of a Hybrid Conference in the Time of COVID-19
108 -- 111Aviral Shrivastava, Xiaobo Sharon Hu. Report on the 2022 Embedded Systems Week (ESWEEK)
112 -- 115Nicola Nicolici. Interview With Janet Olson
116 -- 0Scott Davidson. Training Data Sets: The Source of Our Woes?