Journal: IEEE Trans. on Circuits and Systems

Volume 64-II, Issue 9

997 -- 1001Wen Yuan, Jeffrey S. Walling. Package-Level Reconfiguration of RF Matching Networks Using SMD Components
1002 -- 1006Mohammed Abdulaziz, Waqas Ahmad, Markus Tormanen, Henrik Sjöland. A Linearization Technique for Differential OTAs
1007 -- 1011Sadia Alam, S. M. Rezaul Hasan. A Gene-Protein-miRNA Electronic Oscillator
1012 -- 1016Boyu Hu, Yuan Du, Rulin Huang, Jeffrey Lee, Young-Kai Chen, Mau-Chung Frank Chang. A Capacitor-DAC-Based Technique For Pre-Emphasis-Enabled Multilevel Transmitters
1017 -- 1021Esmail Babakrpur, Won Namgoong. Matching for Concurrent Harmonic Sensing in an M-Phase Mixer-First Receiver
1022 -- 1026Venkata Narayana Rao Vanukuru, Vamsi Krishna Velidi. Compact Millimeter-Wave CMOS Wideband Three-Transmission-Zeros Bandstop Filter Using a Single Coupled-Line Unit
1027 -- 1031Hiroshi Fuketa, Shin-ichi O'Uchi, Takashi Matsukawa. A 0.3-V 1-µW Super-Regenerative Ultrasound Wake-Up Receiver With Power Scalability
1032 -- 1036Ehsan Rahiminejad, Mehdi Saberi, Reza Lotfi. A Power-Efficient Signal-Specific ADC for Sensor-Interface Applications
1037 -- 1041Gwanghyeon Jeong, Seunghoon Kang, Taehwan Joo, Songcheol Hong. An Integrated Dual-Mode CMOS Power Amplifier With Linearizing Body Network
1042 -- 1046Byeong Yong Kong, In-Cheol Park. Improved Sorting Architecture for K-Best MIMO Detection
1047 -- 1051Weijie Feng, Ying Zhang, Wenquan Che. Tunable Dual-Band Filter and Diplexer Based on Folded Open Loop Ring Resonators
1052 -- 1056Blaise Ravelo. High-Pass Negative Group Delay RC-Network Impedance
1057 -- 1061Injae Yoo, In-Cheol Park. Low-Power LDPC-CC Decoding Architecture Based on the Integration of Memory Banks
1062 -- 1066M. Shabany, R. Doostnejad, M. Mahdavi, P. Glenn Gulak. A 38 pJ/b Optimal Soft-MIMO Detector
1067 -- 1071Jaeyoung Seo, Minsoo Choi, Sanquan Song, Jae-Yoon Sim, Hong June Park, Byungsub Kim. An Approximate Transfer Function Model of Two Serially Connected Heterogeneous Transmission Lines
1072 -- 1076William Redman-White, H. Kennedy, Rares Bodnar, T. Lee. Adaptive Tuning of Large-Signal Resonant Circuits Using Phase-Switched Fractional Capacitance
1077 -- 1081Aleksandra Lekic, Dusan M. Stipanoviclekic. Hysteresis Switching Control of the Ćuk Converter Operating in Discontinuous Conduction Modes
1082 -- 1086Yingying Cheng, Haibo Du, Chen Yang, Zuo Wang, Jinping Wang, Yigang He. Fast Adaptive Finite-Time Voltage Regulation Control Algorithm for a Buck Converter System
1087 -- 1091Xiao Liang, Juanjuan Xu, Huanshui Zhang. Optimal Control and Stabilization for Networked Control Systems With Packet Dropout and Input Delay
1092 -- 1096Jingwei Hu, Ray C. C. Cheung, Tim Guneysu. Compact Constant Weight Coding Engines for the Code-Based Cryptography
1097 -- 1101Jaeyoung Kim, Pinaki Mazumder. Energy-Efficient Hardware Architecture of Self-Organizing Map for ECG Clustering in 65-nm CMOS
1102 -- 1106Xuan-Thuan Nguyen, Hong-Thu Nguyen, Cong-Kha Pham. A Scalable High-Performance Priority Encoder Using 1D-Array to 2D-Array Conversion
1107 -- 1111Kentaro Takeda, Hiroyuki Torikai. A Novel Hardware-Efficient Cochlea Model Based on Asynchronous Cellular Automaton Dynamics: Theoretical Analysis and FPGA Implementation
1112 -- 1116Bijit K. Das, G. Vinay Chakravarthi, Mrityunjoy Chakraborty. A Convex Combination of NLMS and ZA-NLMS for Identifying Systems With Variable Sparsity

Volume 64-II, Issue 6

605 -- 609Med Nariman, Farid Shirinfar, Sudhakar Pamarti, Ahmadreza Rofougaran, Franco De Flaviis. High-Efficiency Millimeter-Wave Energy-Harvesting Systems With Milliwatt-Level Output Power
610 -- 614Hanyu Wang, Miao Qi, Bo Wang. PPV Modeling of Memristor-Based Oscillators and Application to ONN Pattern Recognition
615 -- 619Caio M. de Miranda, Sérgio Francisco Pichorim. A Self-Resonant Two-Coil Wireless Power Transfer System Using Open Bifilar Coils
620 -- 624Dante Gabriel Muratore, Edoardo Bonizzoni, Simone Verri, Franco Maloberti. High-Resolution Time-Interleaved Eight-Channel ADC for Li-Ion Battery Stacks
625 -- 629Husheng Liu, Hui Xu. An Adaptive Blind Frequency-Response Mismatches Calibration Method for Four-Channel TIADCs Based on Channel Swapping
630 -- 634Hee-Ran Ahn, Manos M. Tentzeris. A Novel Wideband Compact Microstrip Coupled-Line Ring Hybrid for Arbitrarily High Power-Division Ratios
635 -- 639John S. Mincey, Carlos Briseno-Vidrios, José Silva-Martínez, Christopher T. Rodenbeck. m-C Filter Employing Current-Reuse Differential Difference Amplifiers
640 -- 644Mehdi Lotfi Navaii, Mohsen Jalali, Hamed Sadjedi. A 34-pJ/bit Area-Efficient ASK Demodulator Based on Switching-Mode Signal Shaping
645 -- 649Kuan-Yu Chen, Wei-Yung Chen, Shen-Iuan Liu. A 0.035-pJ/bit/dB 20-Gb/s Adaptive Linear Equalizer With an Adaptation Time of 2.68 µs
650 -- 654Jayoung Kim, Junyoung Song, Jungtaek You, Sewook Hwang, Sang-Geun Bae, Chulwoo Kim. A 250-Mb/s to 6-Gb/s Referenceless Clock and Data Recovery Circuit With Clock Frequency Multiplier
655 -- 659Gyu-Seob Jeong, Wooseok Kim, Jaejin Park, Taeik Kim, Hojin Park, Deog Kyoon Jeong. 2 Inductorless 32-GHz Clock Generator With Wide Frequency-Tuning Range in 28-nm CMOS Technology
660 -- 664Hen-Geul Yeh, Samet Yildiz. Space-Time Trellis-Coded OFDM Systems in Frequency-Selective Mobile Fading Channels
665 -- 669Mohammad A. Maktoomi, M. H. Akbarpour, Mohammad S. Hashmi, Fadhel M. Ghannouchi. On the Dual-Frequency Impedance/Admittance Characteristic of Multisection Commensurate Transmission Line
670 -- 674YiNan Sun, Zhe Yuan, Yongpan Liu, X. Li, Yu Wang, Qi Wei, Yiqun Wang, Vijaykrishnan Narayanan, Huazhong Yang. Maximum Energy Efficiency Tracking Circuits for Converter-Less Energy Harvesting Sensor Nodes
675 -- 679Xuefei Yang, Bin Zhou, James Lam. Global Stabilization of Multiple Oscillator Systems by Delayed and Bounded Feedback
680 -- 684Umair Zulfiqar, Muhammad Imran, Abdul Ghafoor, Muwahida Liaquat. A New Frequency-Limited Interval Gramians-Based Model Order Reduction Technique
685 -- 689Ali Moradi Amani, Mahdi Jalili, Xinghuo Yu, Lewi Stone. Finding the Most Influential Nodes in Pinning Controllability of Complex Networks
690 -- 694Mahdi Jalili, Xinghuo Yu. Enhancing Pinning Controllability of Complex Networks Through Link Rewiring
695 -- 699Faris S. Alghareb, Rizwan Ashraf, Ahmad Alzahrani, Ronald F. DeMara. Energy and Delay Tradeoffs of Soft-Error Masking for 16-nm FinFET Logic Paths: Survey and Impact of Process Variation in the Near-Threshold Region
700 -- 704Byungkyu Song, Taehui Na, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. A 10T-4MTJ Nonvolatile Ternary CAM Cell for Reliable Search Operation and a Compact Area
705 -- 709Pengju Ren, Xin Zhang, Hongwei Bi, Hongbin Sun, Nanning Zheng. Toward an Efficient Multiview Display Processing Architecture for 3DTV
710 -- 714Sandeep Mishra, Anup Dandapat. Energy-Efficient Adaptive Match-Line Controller for Large-Scale Associative Storage
715 -- 719Behnam Kia, Kenneth Mobley, William L. Ditto. An Integrated Circuit Design for a Dynamics-Based Reconfigurable Logic Block
720 -- 724Choon Ki Ahn, Peng Shi 0001. Hankel Norm Performance of Digital Filters Associated With Saturation
725 -- 729Chaudhry Adnan Aslam, Yong Liang Guan, Kui Cai. Retention-Aware Belief-Propagation Decoding for NAND Flash Memory
730 -- 734Shiyuan Wang, Wanli Wang, Shukai Duan. A Class of Weighted Quantized Kernel Recursive Least Squares Algorithms

Volume 64-II, Issue 5

485 -- 489Kyohei Yamada, Takashi Ohira. Graphical Representation of the Power Transfer Efficiency of Lumped-Element Circuits Based on Hyperbolic Geometry
490 -- 494Yu-Kai Tsai, Liang-Hung Lu. A 51.3-MHz 21.8-ppm/°C CMOS Relaxation Oscillator With Temperature Compensation
495 -- 499Gholamreza Nikandish, Ali Medi. Transformer-Feedback Dual-Band Neutralization Technique
500 -- 504Yen-Long Lee, Soon-Jyh Chang, Yen-Chi Chen, Yu-Po Cheng. An Unbounded Frequency Detection Mechanism for Continuous-Rate CDR Circuits
505 -- 509B. Faes, Patrick Reynaert, Paul Leroux. Highly Tunable Triangular Wave UWB Baseband Pulse Generator With Amplitude Stabilization in 40-nm CMOS
510 -- 514Niksa Tadic, Bernhard Goll, Horst Zimmermann. -1 Time Dependence in 0.35-µm BiCMOS Technology for Quantum Random Number Generators
515 -- 519Mahmoud H. Ouda, Waleed Khalil, Khaled N. Salama. Self-Biased Differential Rectifier With Enhanced Dynamic Range for Wireless Powering
520 -- 524Chao-Hsiung Tseng, Tien-Sheng Huang. Microwave Voltage-Controlled Oscillator With Harmonic-Suppressed Stepped-Impedance-Resonator Filter
525 -- 529Jixuan Zhu, Bo Tao, Zhouping Yin. Adaptive RFID Impedance Matching Based on Phase Difference for Oil Well Applications
530 -- 534Hen-Geul Yeh, Samet Yildiz. Design Space-Time Trellis-Coded Intercarrier Interference Parallel Cancelation Architectures for OFDM Systems
535 -- 539Byeonggil Park, Seungyong An, Jongsun Park, Youngjoo Lee. Novel Folded-KES Architecture for High-Speed and Area-Efficient BCH Decoders
540 -- 544Chenchen Deng, Leibo Liu, Yang Liu, Shouyi Yin, Shaojun Wei. PMCC: Fast and Accurate System-Level Power Modeling for Processors on Heterogeneous SoC
545 -- 549Yu Wang, Na Yan, Hao Min, C.-J. Richard Shi. A High-Efficiency Split-Merge Charge Pump for Solar Energy Harvesting
550 -- 554Vikas Paduvalli, R. J. Taylor, Poras T. Balsara. Analysis of Zeros in a Boost DC-DC Converter: State Diagram Approach
555 -- 559H. Trinh, D. C. Huong, Le Van Hien, Saeid Nahavandi. Design of Reduced-Order Positive Linear Functional Observers for Positive Time-Delay Systems
560 -- 564Xiangdong Liu, Xing Xin, Zhen Li, Zhen Chen. Near Optimal Control Based on the Tensor-Product Technique
565 -- 569Matteo Venturelli, Fabrizio Torricelli, Matteo Ghittorelli, Luigi Colalongo, Anna Richelli, Zsolt Miklós Kovács-Vajna. Unipolar Differential Logic for Large-Scale Integration of Flexible aIGZO Circuits
570 -- 574Kangwook Jo, Hongil Yoon. Variation-Tolerant Sensing Circuit for Ultralow-Voltage Operation of Spin-Torque Transfer Magnetic RAM
575 -- 579Giuseppe Cocorullo, Pasquale Corsonello, Fabio Frustaci, Stefania Perri. Design of Efficient BCD Adders in Quantum-Dot Cellular Automata
580 -- 584Nan Zheng, Pinaki Mazumder. An Efficient Eligible Error Locator Polynomial Searching Algorithm and Hardware Architecture for One-Pass Chase Decoding of BCH Codes
585 -- 589Md. Mehedi Hasan, Khan A. Wahid. Low-Cost Architecture of Modified Daubechies Lifting Wavelets Using Integer Polynomial Mapping
590 -- 594Jiajing Wu, Yongxiang Xia. Complex-Network-Inspired Design of Traffic Generation Patterns in Communication Networks
595 -- 599Irza Arif, Muhammad Rehan, Muhammad Tufail. Toward Local Stability Analysis of Externally Interfered Digital Filters Under Overflow Nonlinearity
600 -- 604Fuyi Huang, Jiashu Zhang, Sheng Zhang 0006. NLMS Algorithm Based on a Variable Parameter Cost Function Robust Against Impulsive Interferences

Volume 64-II, Issue 4

357 -- 361Pietro Monsurrò, Alessandro Trifiletti. Calibration of Time-Interleaved ADCs via Hermitianity-Preserving Taylor Approximations
362 -- 366Pinar Basak Basyurt, Edoardo Bonizzoni, Devrim Yilmaz Aksin, Franco Maloberti. A 0.4-V Supply Curvature-Corrected Reference Generator With 84.5-ppm/°C Average Temperature Coefficient Within -40 °C to 130 °C
367 -- 371Ran Shu, Jinbo Li, Adrian Tang 0002, Brian J. Drouin, Qun Jane Gu. Coupling-Inductor-Based Hybrid mm-Wave CMOS SPST Switch
372 -- 376Hongjia Mo, Michael Peter Kennedy. Influence of Initial Conditions on the Fundamental Periods of LFSR-Dithered MASH Digital Delta-Sigma Modulators With Constant Inputs
377 -- 381Mladen Mitrovic, Michael Hofbauer, Bernhard Goll, Kerstin Schneider-Hornstein, Robert Swoboda, Bernhard Steindl, Kay-Obbe Voss, Horst Zimmermann. A DC-to-8.5 GHz 32 : 1 Analog Multiplexer for On-Chip Continuous-Time Probing of Single-Event Transients in a 65-nm CMOS
382 -- 386Marko Neitola. Lee's Rule Extended
387 -- 391Haiyang Zhu, Wenhua Yang, Gil Engel, Yong-Bin Kim. A Two-Parameter Calibration Technique Tracking Temperature Variations for Current Source Mismatch
392 -- 396Hiroshi Fuketa, Shin-ichi O'Uchi, Takashi Matsukawa. Fully Integrated, 100-mV Minimum Input Voltage Converter With Gate-Boosted Charge Pump Kick-Started by LC Oscillator for Energy Harvesting
397 -- 401Yongjo Kim, Keunsoo Song, Dongkyun Kim, SeongHwan Cho. 2 1.25-GHz Quadrature Signal Corrector With 1.1-ps Error for Mobile DRAM Interface in 65-nm CMOS
402 -- 406Li Ma, Zhigong Wang, Jian Xu, Najam Muhammad Amin. A High-Linearity Wideband Common-Gate LNA With a Differential Active Inductor
407 -- 411Pavel Zahradnik, Michal Susta, Boris Simák, Miroslav Vlcek. Cascade Structure of Narrow Equiripple Bandpass FIR Filters
412 -- 416Amin Farshidi, Laleh Behjat, Logan M. Rakai, David T. Westwick. A Multiobjective Cooptimization of Buffer and Wire Sizes in High-Performance Clock Trees
417 -- 421Mou Chen, Shuyi Shao, Peng Shi 0001, Yan Shi. Disturbance-Observer-Based Robust Synchronization Control for a Class of Fractional-Order Chaotic Systems
422 -- 426Vladimir Zdraveski, Mirko Todorovski, Dimitar Trajanov, Ljupco Kocarev. Dynamic Load Balancing and Reactive Power Compensation Switch Embedded in Power Meters
427 -- 431Rathinasamy Sakthivel, S. Mohanapriya, H. R. Karimi, Palanisamy Selvaraj. A Robust Repetitive-Control Design for a Class of Uncertain Stochastic Dynamical Systems
432 -- 436Guanglei Zhao, Changchun Hua. Improved High-Order-Reset-Element Model Based on Circuit Analysis
437 -- 441Jooseung Lee, In-Cheol Park. High-Performance Low-Area Video Up-Scaling Architecture for 4-K UHD Video
442 -- 446Bingyan Liu, Jiangzheng Cai, Jia Yuan, Yong Hei. A Low-Voltage SRAM Sense Amplifier With Offset Cancelling Using Digitized Multiple Body Biasing
447 -- 451Jeremy Soh, Xiaofeng Wu. An FPGA-Based Unscented Kalman Filter for System-On-Chip Applications
452 -- 456Anju P. Johnson, Rajat Subhra Chakraborty, Debdeep Mukhopadhyay. An Improved DCM-Based Tunable True Random Number Generator for Xilinx FPGA
457 -- 461Jinmook Lee, Seongwook Park, Injoon Hong, Hoi-Jun Yoo. An Energy-Efficient Speech-Extraction Processor for Robust User Speech Recognition in Mobile Head-Mounted Display Systems
462 -- 466Takao Marukame, Kodai Ueyoshi, Tetsuya Asai, Masato Motomura, Alexandre Schmid, Masamichi Suzuki, Yusuke Higashi, Yuichiro Mitani. Error Tolerance Analysis of Deep Learning Hardware Using a Restricted Boltzmann Machine Toward Low-Power Memory Implementation
467 -- 471Wen-Bo Du, Wen Ying, Gang Yan, Yan-Bo Zhu, Xian-Bin Cao. Heterogeneous Strategy Particle Swarm Optimization
472 -- 476Sunder Kidambi, Andreas Antoniou. Design of Minimum-Phase Filters Using Optimization
477 -- 481Soo-Chang Pei, Wen-Yang Lu, Bo-Yi Guo. Pole-Zero Assignment of All-Pass-Based Notch Filters

Volume 64-II, Issue 3

229 -- 233Kai Yu, Sizhen Li, Gary Zhang, Zhi-hao Zhang, Qiaoling Tong, Xuecheng Zou. Design Considerations of Charge Pump for Antenna Switch Controller With SOI CMOS Technology
234 -- 238Tianzuo Xi, Sherry Huang, Shita Guo, Ping Gui, Daquan Huang, Sudipto Chakraborty. High-Efficiency E-Band Power Amplifiers and Transmitter Using Gate Capacitance Linearization in a 65-nm CMOS Process
239 -- 243Reza Bostani, Gholamreza Ardeshir, Hossein Miar Naimi. Analysis of Millimeter-Wave LC Oscillators Based on Two-Port Network Theory
244 -- 248Long Chen, Kareem Ragab, Xiyuan Tang, Jeonggoo Song, Arindam Sanyal, Nan Sun. A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS
249 -- 253Young-Ho Choi, Byungsub Kim, Jae-Yoon Sim, Hong June Park. A Phase-Interpolator-Based Fractional Counter for All-Digital Fractional-N Phase-Locked Loop
254 -- 258Junho Ko, Dongkyo Kim, Sanggeun Jeon. D-Band Common-Base Amplifiers With Gain Boosting and Interstage Self-Matching in 0.18- $\mu\text{m}$ SiGe HBT Technology
259 -- 263Atif Raza Jafri, Amer Baghdadi, Muhammad Najam-ul-Islam, Michel Jézéquel. Heterogeneous Multi-ASIP and NoC-Based Architecture for Adaptive Parallel TBICM-ID-SSD
264 -- 268Joung Won Park, Behzad Razavi. Analysis of Second-Order Intermodulation in Miller Bandpass Filters
269 -- 273Donggu Im, Ockgoo Lee, Ilku Nam. A TV Receiver Front-End With Linearized LNA and Current-Summing Harmonic Rejection Mixer
274 -- 278Alireza Mahzoon, Bijan Alizadeh. Systematic Design Space Exploration of Floating-Point Expressions on FPGA
279 -- 283Xing Li, Yin-Ping Li, Chi-Ying Tsui, Wing-Hung Ki. Wireless Power Transfer System With $\Sigma\Delta$- Modulated Transmission Power and Fast Load Response for Implantable Medical Devices
284 -- 288Chao Deng, Guang-Hong Yang. Consensus of Linear Multiagent Systems With Actuator Saturation and External Disturbances
289 -- 293Brian B. Johnson, Philip T. Krein. An Analytical Time-Domain Expression for the Net Ripple Produced by Parallel Interleaved Converters
294 -- 298Mohammad Alhawari, Baker Mohammad, Hani H. Saleh, Mohammed Ismail. An Efficient Zero Current Switching Control for L-Based DC-DC Converters in TEG Applications
299 -- 303Zhong-Hua Pang, Guo-Ping Liu, Donghua Zhou, Dehui Sun. Input Design-Based Compensation Control for Networked Nonlinear Systems With Random Delays and Packet Dropouts
304 -- 308Xiaoling Wang, Housheng Su, Xiaofan Wang, Guanrong Chen. Nonnegative Edge Quasi-Consensus of Networked Dynamical Systems
309 -- 313Xu Wang, Yongxin Zhu, Yajun Ha, Meikang Qiu, Tian Huang. An FPGA-Based Cloud System for Massive ECG Data Analysis
314 -- 318Meng-chou Chang, Po-Hung Yang, Ze-Gang Pan. Register-Less NULL Convention Logic
319 -- 323Javier Hormigo, Julio Villalba. HUB Floating Point for Improving FPGA Implementations of DSP Applications
324 -- 328Daniele Rossi, Vasileios Tenentes, Sheng Yang, Syed Saqib Khursheed, Bashir M. Al-Hashimi. Aging Benefits in Nanometer CMOS Designs
329 -- 333Ihsan Cicek, Ali Emre Pusane, Günhan Dündar. An Integrated Dual Entropy Core True Random Number Generator
334 -- 338Xiangdong Liu, Luyu Li, Zhen Li, Tyrone Fernando, Herbert H. C. Iu. Stochastic Stability Condition for the Extended Kalman Filter With Intermittent Observations
339 -- 343Christos K. Volos, Jamal-Odysseas Maaita, Sundarapandian Vaidyanathan, Viet-Thanh Pham, Ioannis N. Stouboulos, Ioannis M. Kyprianidis. A Novel Four-Dimensional Hyperchaotic Four-Wing System With a Saddle-Focus Equilibrium
344 -- 348Pietro Monsurrò, Alessandro Trifiletti. Faster, Stabler, and Simpler - A Recursive-Least-Squares Algorithm Exploiting the Frisch-Waugh-Lovell Theorem
349 -- 353Honggang Qi, Qingming Huang, Wen Gao 0001. A Bit-Plane Decomposition Matrix-Based VLSI Integer Transform Architecture for HEVC

Volume 64-II, Issue 2

101 -- 105Carlos Fernando Teodósio Soares, Antonio Petraglia, Gustavo S. de Campos. Methodologies for Evaluating and Measuring Capacitance Mismatch in CMOS Integrated Circuits
106 -- 110Seunghwan Hong, Shinwoong Kim, Seungnam Choi, Hwasuk Cho, Jaehyeong Hong, Young Hun Seo, Byungsub Kim, Hong June Park, Jae-Yoon Sim. A 250-µW 2.4-GHz Fast-Lock Fractional-N Frequency Generation for Ultralow-Power Applications
111 -- 115Chi-Hang Chan, Yan Zhu 0001, Sai-Weng Sin, Boris Murmann, Seng-Pan U, Rui Paulo Martins. Metastablility in SAR ADCs
116 -- 120Martin Kramer, Erwin Janssen, Kostas Doris, Boris Murmann. A 14-Bit 30-MS/s 38-mW SAR ADC Using Noise Filter Gear Shifting
121 -- 125Giuseppe Li Puma, Rotem Avivi, Christophe Carbonne. Adaptive Techniques to Mitigate Oscillator Pulling in Radio Transmitters
126 -- 130Mohammad A. Maktoomi, Mohammad S. Hashmi, Fadhel M. Ghannouchi. Improving Load Range of Dual-Band Impedance Matching Networks Using Load-Healing Concept
131 -- 135Mackenzie Cook, John W. M. Rogers. A Highly Compact 2.4-GHz Passive 6-bit Phase Shifter With Ambidextrous Quadrant Selector
136 -- 140Oussama Abassi, Laura Conde-Canencia, Ali Al Ghouwayel, Emmanuel Boutillon. A Novel Architecture for Elementary-Check-Node Processing in Nonbinary LDPC Decoders
141 -- 145Ramazan Köprü. FSRFT - Fast Simplified Real Frequency Technique via Selective Target Data Approach for Broadband Double Matching
146 -- 150Abhisek K. Behera, Bijnan Bandyopadhyay. Robust Sliding Mode Control: An Event-Triggering Approach
151 -- 155Junkang Ni, Ling Liu, Chongxin Liu, Xiaoyu Hu, Shilei Li. Fast Fixed-Time Nonsingular Terminal Sliding Mode Control and Its Application to Chaos Suppression in Power System
156 -- 160Xinmin Song, Ju H. Park. Linear Optimal Estimation for Discrete-Time Measurement Delay Systems With Multichannel Multiplicative Noise
161 -- 165Kyunghoon Chung, Seong-Kwan Hong, Oh-Kyong Kwon. A Fast and Compact Charger for an Li-Ion Battery Using Successive Built-In Resistance Detection
166 -- 170Yan Lu, Haojuan Dai, Mo Huang, Man Kay Law, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins. A Wide Input Range Dual-Path CMOS Rectifier for RF Energy Harvesting
171 -- 175Lauren Guckert, Earl E. Swartzlander Jr.. MAD Gates - Memristor Logic Design Using Driver Circuitry
176 -- 180Dimitrios Balobas, Nikos Konofaos. Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders
181 -- 185Jin Wu, Qi Jiang, Ke Song, Lixia Zheng, Dongchen Sun, Weifeng Sun. Implementation of a High-Precision and Wide-Range Time-to-Digital Converter With Three-Level Conversion Scheme
186 -- 190Xiaoyong Xue, Yarong Fu, Yanqing Zhao, Juan Xu, Jianguo Yang, Yufeng Xie, Yinyin Lin, Ryan Huang, Qingtian Zou, Jingang Wu. Dynamic Data-Dependent Reference to Improve Sense Margin and Speed of Magnetoresistive Random Access Memory
191 -- 195Wenchao Qian, Pai-Yu Chen, Robert Karam, Ligang Gao, Swarup Bhunia, Shimeng Yu. Energy-Efficient Adaptive Computing With Multifunctional Memory
196 -- 200Dongdong Wang, Bo Zhang, Dong Yuan Qiu, Fan Xie 0002, Du-Qu Wei. Stability Analysis of the Coupled Synchronous Reluctance Motor Drives
201 -- 205Huaqing Li, Guo Chen, Xiaofeng Liao, Tingwen Huang. Attraction Region Seeking for Power Grids
206 -- 210Panagiotis Giounanlis, Sergi Gorreta, Manuel Domínguez Pumar, Joan Pons-Nin, Orla Feely, Elena Blokhina. ΣΔ Effects and Charge Locking in Capacitive MEMS Under Dielectric Charge Control
211 -- 215Choon Ki Ahn, Yuriy S. Shmaliy, Peng Shi 0001, Yuxin Zhao. ∞ FIR Filter With Embedded Deadbeat Property
216 -- 220Hamed Yazdanpanah, Paulo S. R. Diniz. New Trinion and Quaternion Set-Membership Affine Projection Algorithms
221 -- 225Juan Carlos Pena Ramos, Marian Verhelst. Split-Delta Background Calibration for SAR ADCs

Volume 64-II, Issue 11

1257 -- 1261Xilin Liu, Jacob Sacks, Milin Zhang, Andrew G. Richardson, Timothy H. Lucas, Jan Van der Spiegel. The Virtual Trackpad: An Electromyography-Based, Wireless, Real-Time, Low-Power, Embedded Hand-Gesture-Recognition System Using an Event-Driven Artificial Neural Network
1262 -- 1266Moataz Abdelfattah, Gordon W. Roberts. All-Digital Time-Mode Direct-Form All-Pole Biquadratic Filter Realization
1267 -- 1271Federico Bizzarri, Angelo Maurizio Brambilla, Sergio Callegari. On the Mechanisms Governing Spurious Tone Injection in Fractional PLLs
1272 -- 1276Danilo Bustamante, Devon Janke, Eric Swindlehurst, Shiuh-Hua Wood Chiang. High-Precision, Mixed-Signal Mismatch Measurement of Metal-Oxide-Metal Capacitors
1277 -- 1281Jose-Ramon Perez-Cisneros, Jesus de Mingo, Pedro Luis Carro, Paloma Garcia Ducar, Carlos Mateo, Antonio Valdovinos, César Sánchez-Perez. 2-D Optimization Methodology for Reconfigurable Transmitters by Tunable Matching Networks
1282 -- 1286Kuan-Yu Chen, Wei-Yung Chen, Shen-Iuan Liu. A 0.31-pJ/bit 20-Gb/s DFE With 1 Discrete Tap and 2 IIR Filters Feedback in 40-nm-LP CMOS
1287 -- 1291Shanshan Dai, Jacob K. Rosenstein. A 15-V Bidirectional Current Clamp Circuit for Integrated Patch Clamp Electrophysiology
1292 -- 1296Rotem Avivi, Michael Kerner, Evgeny Shumaker, Giuseppe Li Puma, Tami Sela, Lidor Sofer, Gil Horovitz. Adaptive Spur Cancellation Technique in All-Digital Phase-Locked Loops
1297 -- 1301Seunghyeon Kim, Jihoon Sohn, Hyunchol Shin. A CMOS UHF Harmonic Rejection Transceiver With 2-D LO Phase Calibration for TV White Space Applications
1302 -- 1306Wei Hu, Lin Wang 0003, Georges Kaddoum. Design and Performance Analysis of a Differentially Spatial Modulated Chaos Shift Keying Modulation System
1307 -- 1311Luca Puglia, Mario Vigliar, Giancarlo Raiconi. Real-Time Low-Power FPGA Architecture for Stereo Vision
1312 -- 1316R. Sakthivel, Hamid Reza Karimi, Maya Joby, Srimanta Santra. Resilient Sampled-Data Control for Markovian Jump Systems With an Adaptive Fault-Tolerant Mechanism
1317 -- 1321Zehuan Lu, Lin Zhang, Long Wang. Observability of Multi-Agent Systems With Switching Topology
1322 -- 1326Le Van Hien, Hieu Trinh. Observer-Based Control of 2-D Markov Jump Systems
1327 -- 1331Umair Zulfiqar, Waseem Tariq, Li Li, Muwahida Liaquat. A Passivity-Preserving Frequency-Weighted Model Order Reduction Technique
1332 -- 1336YeongJae Choi, Dongmyung Bae, Jaehyeong Sim, Seungkyu Choi, Minhye Kim, Lee-Sup Kim. Energy-Efficient Design of Processing Element for Convolutional Neural Network
1337 -- 1341Parham Hosseinzadeh Namin, Roberto Muscedere, Majid Ahmadi. m Using Redundant Representation
1342 -- 1346Stanislaw Wozniak, Angeliki Pantazi, Severin Sidler, Nikolaos Papandreou, Yusuf Leblebici, Evangelos Eleftheriou. Neuromorphic Architecture With 1M Memristive Synapses for Detection of Weakly Correlated Inputs
1347 -- 1351Qianjian Xing, Zhen-guo Ma, Yingke Xu. A Novel Conflict-Free Parallel Memory Access Scheme for FFT Processors
1352 -- 1356Xiubin Mao, Zhen-guo Ma, Feng Yu, Qianjian Xing. A Continuous-Flow Memory-Based Architecture for Real-Valued FFT

Volume 64-II, Issue 10

1117 -- 1121Wonjong Song, Junan Lee, Nayeon Cho, Jinwook Burm. An Ultralow Power Time-Domain Temperature Sensor With Time-Domain Delta-Sigma TDC
1122 -- 1126Youngjae Jung, Quanzhen Duan, Jeongjin Roh. A 17.4-b Delta-Sigma Capacitance-to-Digital Converter for One-Terminal Capacitive Sensors
1127 -- 1131Roohie Kaushik, Shouri Chatterjee, G. S. Visweswaran. Charge-Controlled Oscillators and Their Application in Frequency Synthesis
1132 -- 1136Sang-Geun Bae, Gyungmin Kim, Chulwoo Kim. A 5-GHz Subsampling PLL-Based Spread-Spectrum Clock Generator by Calibrating the Frequency Deviation
1137 -- 1141Miao Meng, Mehdi Kiani. A Hybrid Inductive-Ultrasonic Link for Wireless Power Transmission to Millimeter-Sized Biomedical Implants
1142 -- 1146Hua Fan, Franco Maloberti. High-Resolution SAR ADC With Enhanced Linearity
1147 -- 1151Seong-Jin Yun, Jeongseok Yun, Yong Sin Kim. Capless LDO Regulator Achieving -76 dB PSR and 96.3 fs FOM
1152 -- 1156Md. Tanvir Rahman, Torsten Lehmann. A Self-Calibrated Cryogenic Current Cell for 4.2 K Current Steering D/A Converters
1157 -- 1161Dries Vercaemer, Johan Raman, Pieter Rombouts. Passive Loop Filter Assistance for CTSDMs
1162 -- 1166Dmitry Osipov 0001, Steffen Paul. Temperature-Compensated β-Multiplier Current Reference Circuit
1167 -- 1171Robert W. Jackson. Selective Sampling Versus Attenuation for Interference Mitigation in Broadband Receivers
1172 -- 1176Joshua H. Kim, Ali Tazarv, Michael M. Green. Fast Startup of LC VCOs Using Circuit Asymmetries
1177 -- 1181Haoyu Qian, José Silva-Martínez. Multitone ACLR and Its Applications to Linear PA Design
1182 -- 1186Wenjie Feng, Xin Gao, Wenquan Che, Wanchen Yang, Quan Xue. High Selectivity Wideband Balanced Filters With Multiple Transmission Zeros
1187 -- 1191Xiangdong Liu, Yin Yu, Zhen Li, Herbert H. C. Iu, Tyrone Fernando. An Efficient Algorithm for Optimally Reshaping the TP Model Transformation
1192 -- 1196Huifen Hong, Wenwu Yu, Xinghuo Yu, Guanghui Wen, Ahmed Alsaedi. Fixed-Time Connectivity-Preserving Distributed Average Tracking for Multiagent Systems
1197 -- 1201Xiaoqiang Guo. A Novel CH5 Inverter for Single-Phase Transformerless Photovoltaic System Applications
1202 -- 1206Ahmed Saeed, Sameh Ibrahim, Hani Fikry Ragai. A Sizing Methodology for Rise-Time Minimization of Dickson Charge Pumps With Capacitive Loads
1207 -- 1211Jungtaek You, Junyoung Song, Chulwoo Kim. A 2-Gb/s/ch Data-Dependent Swing-Limited On-Chip Signaling for Single-Ended Global I/O in SDRAM
1212 -- 1216Xin Lou, Pramod Kumar Meher, Yajun Yu, Wenbin Ye. Novel Structure for Area-Efficient Implementation of FIR Filters
1217 -- 1221Shayan Moini, Bijan Alizadeh, Mohammad Emad, Reza Ebrahimpour. A Resource-Limited Hardware Accelerator for Convolutional Neural Networks in Embedded Vision Applications
1222 -- 1226Pengchun Rao, Xiang Li, Maciej J. Ogorzalek. Stability of Synchronous Solutions in a Directed Kuramoto-Oscillator Network With a Pacemaker
1227 -- 1231Jessica Chani-Cahuana, Mustafa Ozen, Christian Fager, Thomas Eriksson. Digital Predistortion Parameter Identification for RF Power Amplifiers Using Real-Valued Output Data
1232 -- 1236Quanyi Liang, Zhikun She, Lei Wang 0055, Housheng Su. General Lyapunov Functions for Consensus of Nonlinear Multiagent Systems
1237 -- 1241Shiyuan Wang, Wanli Wang, Shukai Duan, Lidan Wang. Kernel Recursive Least Squares With Multiple Feedback and Its Convergence Analysis
1242 -- 1246Djuro G. Zrilic, Grozdan Petrovic, Wei Tang 0002. Novel Solutions of a Delta-Sigma-Based Rectifying Encoder
1247 -- 1251Fuyi Huang, Jiashu Zhang, Sheng Zhang. Adaptive Filtering Under a Variable Kernel Width Maximum Correntropy Criterion
1252 -- 1256Fuyi Huang, Jiashu Zhang, Sheng Zhang. Maximum Versoria Criterion-Based Robust Adaptive Filtering Algorithm