Journal: IEEE Trans. VLSI Syst.

Volume 3, Issue 4

473 -- 482Carl Ebeling, Larry McMurchie, Scott Hauck, Steven M. Burns. Placement and routing tools for the Triptych FPGA
483 -- 490Jie Gong, Daniel D. Gajski, Alexandru Nicolau. Performance evaluation for application-specific architectures
491 -- 501Gaetano Borriello, Carl Ebeling, Scott Hauck, Steven M. Burns. The Triptych FPGA architecture
502 -- 506William Fornaciari, Fabio Salice. A new architecture for the automatic design of custom digital neural network

Volume 3, Issue 3

345 -- 354M. F. Mar, Robert W. Brodersen. A design system for on-chip oversampling A/D interfaces
355 -- 369Kayhan Küçükçakar, Alice C. Parker. A methodology and design tools to support system-level VLSI design
370 -- 378Chih-Ming Chang, Shih-Lien Lu. Design of a static MIMD data flow processor using micropipelines
379 -- 392Minjoong Rim, Yaw Fann, Rajiv Jain. Global scheduling with code-motions for high-level synthesis applications
393 -- 403Duen-Jeng Wang, Yu Hen Hu. Multiprocessor implementation of real-time DSP algorithms
404 -- 416Chi-Ying Tsui, José C. Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin. Power estimation methods for sequential logic circuits
417 -- 429Brian A. A. Antao, Arthur J. Brodersen. Behavioral simulation for analog system design verification
430 -- 436Weiping Shi, W. Kent Fuchs. Optimal interconnect diagnosis of wiring networks
437 -- 445Shaahin Hessabi, M. Y. Osman, Mohamed I. Elmasry. Differential BiCMOS logic circuits: fault characterization and design-for-testability
446 -- 450Wen-Ben Jone, Paresh Gondalia, Allan Gutjahr. Realizing a high measure of confidence for defect level analysis of random testing [VLSI]
450 -- 455Uming Ko, Poras T. Balsara. Short-circuit power driven gate sizing technique for reducing power dissipation
455 -- 459Toshiaki Miyazaki, Hiroshi Nakada, Akihiro Tsutsui, Kazuhisa Yamada, Naohisa Ohta. Performance improvement technique for synchronous circuits realized as LUT-based FPGAs
459 -- 464Frank Vahid, Daniel D. Gajski. Incremental hardware estimation during hardware/software functional partitioning
464 -- 469A. De Gloria, M. Olivieri. Efficient semicustom micropipeline design

Volume 3, Issue 2

157 -- 172Florin Balasa, Francky Catthoor, Hugo De Man. Background memory area estimation for multidimensional signal processing systems
173 -- 187Paul E. Landman, Jan M. Rabaey. Architectural power analysis: The dual bit type method
188 -- 200Ashok K. Chandra, Vijay S. Iyengar, D. Jameson, R. V. Jawalekar, Indira Nair, Barry K. Rosen, Michael P. Mullen, J. Yoon, R. Armoni, Daniel Geist, Yaron Wolfsthal. AVPGEN-A test generator for architecture verification
201 -- 214R. Vemuri, R. Kalyanaraman. Generation of design verification tests from behavioral VHDL programs using path enumeration and constraint programming
215 -- 230Andrés Takach, Wayne Wolf. Scheduling constraint generation for communicating processes
231 -- 244Brian A. A. Antao, Arthur J. Brodersen. ARCHGEN: Automated synthesis of analog systems
245 -- 253Dan Picker, Ronald D. Fellman. A VLSI priority packet queue with inheritance and overwrite
254 -- 263Hussein M. Alnuweiri, Sadiq M. Sait. Efficient network folding techniques for routing permutations in VLSI
264 -- 272P. Day, J. V. Woods. Investigation into micropipeline latch design styles
273 -- 291Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge. Critical paths in circuits with level-sensitive latches
292 -- 301Vojin G. Oklobdzija, David Villeger. Improving multiplier design by using improved column compression tree and optimized final adder in CMOS technology
302 -- 310Jing-Jou Tang, Kuen-Jong Lee, Bin-Da Liu. A practical current sensing technique for I::DDQ:: testing
311 -- 322Qingjian Yu, Ernest S. Kuh. Exact moment matching model of transmission lines and application to interconnect delay estimation
323 -- 327Hyunchul Shin, Chunghee Kim. Performance-oriented technology mapping for LUT-based FPGA s
327 -- 333Uming Ko, T. Balsara, Wai Lee. Low-power design techniques for high-performance CMOS adders
333 -- 338Elizabeth M. Rudnick, Vivek Chickermane, Prithviraj Banerjee, Janak H. Patel. Sequential circuit testability enhancement using a nonscan approach
338 -- 341Shih-Lien Lu. Implementation of micropipelines in enable/disable CMOS differential logic

Volume 3, Issue 1

2 -- 19Mani B. Srivastava, Miodrag Potkonjak. Optimum and heuristic transformation techniques for simultaneous optimization of latency and throughput
20 -- 35Mani B. Srivastava, Robert W. Brodersen. System level hardware module generation
36 -- 48Debabrata Ghosh, S. K. Nandy. Design and realization of high-performance wave-pipelined 8×8 b multiplier in CMOS technology
49 -- 58Mircea R. Stan, Wayne P. Burleson. Bus-invert coding for low-power I/O
59 -- 71Michael Nicolaidis, Vladimir Castro Alves, Hakim Bederr. Testing complex couplings in multiport memories
72 -- 83Krishnendu Chakrabarty, John P. Hayes. Cumulative balance testing of logic circuits
84 -- 98Jun Dong Cho, M. Sarrafzadeh. A buffer distribution algorithm for high-performance clock net optimization
99 -- 111Brian S. Cherkauer, Eby G. Friedman. A unified design methodology for CMOS tapered buffers
112 -- 123Steven G. Duvall. A practical methodology for the statistical design of complex logic products for performance
124 -- 135Jiao Fan, D. Zaleta, Chung-Kuan Cheng, S.-H. Lee. Physical models and algorithms for optoelectronic MCM layout
136 -- 141M. Agarwala, Poras T. Balsara. An architecture for a DSP field-programmable gate array
141 -- 146Nan-Chi Chou, Chung-Kuan Cheng. On general zero-skew clock net construction
146 -- 152Shyue-Kung Lu, Jen-Chuan Wang, Cheng-Wen Wu. C-testable design techniques for iterative logic arrays