Journal: IEEE Trans. on Circuits and Systems

Volume 62-I, Issue 8

1897 -- 1898Jose M. de la Rosa, Patrick Chiang, Lawrence T. Clark. Guest Editorial: Special Section on the 2014 IEEE Custom Integrated Circuits Conference (CICC 2014)
1899 -- 1907Ning Lu, Richard A. Wachnik. Modeling of Resistance in FinFET Local Interconnect
1908 -- 1917Ji-Eun Jang, Jaeha Kim. PPV-Based Modeling and Event-Driven Simulation of Injection-Locked Oscillators in SystemVerilog
1918 -- 1928Jorge Zarate-Roldan, Salvador Carreon-Bautista, Alfredo Costilla-Reyes, Edgar Sánchez-Sinencio. A Power Management Unit With 40 dB Switching-Noise-Suppression for a Thermal Harvesting Array
1929 -- 1939Sandipan Kundu, Erkan Alpman, Julia Hsin-Lin Lu, Hasnain Lakdawala, Jeyanandh Paramesh, Byunghoo Jung, Sarit Zur, Eshel Gordon. A 1.2 V 2.64 GS/s 8 bit 39 mW Skew-Tolerant Time-interleaved SAR ADC in 40 nm Digital LP CMOS for 60 GHz WLAN
1940 -- 1949Osama Elhadidy, Sherif Shakib, Keith Krenek, Samuel Palermo, Kamran Entesari. A Wide-Band Fully-Integrated CMOS Ring-Oscillator PLL-Based Complex Dielectric Spectroscopy System
1950 -- 1958Jaebin Choi, Eyal Aklimi, Chen Shi, David Tsai, Harish Krishnaswamy, Kenneth L. Shepard. 3 Pulsed 33-GHz Radio Transmitter Operating From a 5 kT/q-Supply Voltage
1959 -- 1970Edward M. Cherry. Gain at an Arbitrary Cut in a Linear Bilateral Network, and Its Relation to Loop Gain in Feedback Amplifiers
1971 -- 1980Mohammad Hossein Taghavi, Leonid Belostotski, James W. Haslett, Peyman Ahmadi. 10-Gb/s 0.13-µm CMOS Inductorless Modified-RGC Transimpedance Amplifier
1981 -- 1990Torsten Djurhuus, Viktor Krozer. A Generalized Model of Noise Driven Circuits with Application to Stochastic Resonance
1991 -- 2002Dongsheng Liu, Huan Lin, Xuecheng Zou, Liang Guo, Ke Yao, Zilong Liu. A High Sensitivity Analog Front-end Circuit for Semi-Passive HF RFID Tag Applied to Implantable Devices
2003 -- 2012Yi-Keng Hsieh, Ya-Ru Wu, Po-Chih Ku, Liang-Hung Lu. An Analog On-Line Gain Calibration Loop for RF Amplifiers
2013 -- 2023Colin Weltin-Wu, Eythan Familier, Ian Galton. A Linearized Model for the Design of Fractional-N Digital PLLs Based on Dual-Mode Ring Oscillator FDCs
2024 -- 2034Meilin Wan, Zhangqing He, Shuang Han, Kui Dai, Xuecheng Zou. An Invasive-Attack-Resistant PUF Based On Switched-Capacitor Circuit
2035 -- 2043Massimo Alioto, Elio Consoli, Gaetano Palumbo. Variations in Nanometer CMOS Flip-Flops: Part I - Impact of Process Variations on Timing
2044 -- 2051Chung-Hsin Liu, Chiou-Yng Lee, Pramod Kumar Meher. Efficient Digit-Serial KA-Based Multiplier Over Binary Extension Fields Using Block Recombination Approach
2052 -- 2061Wen-Quan He, Yuan-Ho Chen, Shyh-Jye Jou. High-Accuracy Fixed-Width Booth Multipliers Based on Probability and Simulation
2062 -- 2068Anirudh Srikant Iyengar, Swaroop Ghosh, Jae-Won Jang. MTJ-Based State Retentive Flip-Flop With Enhanced-Scan Capability to Sustain Sudden Power Failure
2069 -- 2078Itamar Levi, Osnat Keren, Alexander Fish. Data-Dependent Delays as a Barrier Against Power Attacks
2079 -- 2090Manish Kumar Jaiswal, B. Sharat Chandra Varma, Hayden Kwok-Hay So, M. Balakrishnan, Kolin Paul, Ray C. C. Cheung. Configurable Architectures for Multi-Mode Floating Point Adders
2091 -- 2102Junyoung Ko, Jisu Kim, Youngdon Choi, H. K. Park, Seong-Ook Jung. Temperature-Tracking Sensing Scheme With Adaptive Precharge and Noise Compensation Scheme in PRAM
2103 -- 2113Maurizio Martina, Guido Masera, Massimo Ruo Roch, Gianluca Piccinini. Result-Biased Distributed-Arithmetic-Based Filter Architectures for Approximately Computing the DWT
2114 -- 2121Iman Madadi, Massoud Tohidian, Robert Bogdan Staszewski. Analysis and Design of I/Q Charge-Sharing Band-Pass-Filter for Superheterodyne Receivers
2122 -- 2131Atsutake Kosuge, Shu Ishizuka, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda. Analysis and Design of an 8.5-Gb/s/Link Multi-Drop Bus Using Energy-Equipartitioned Transmission Line Couplers

Volume 62-I, Issue 7

1689 -- 1698Yi Zhang, Chia-Hung Chen, Tao He, Gabor C. Temes. A Continuous-Time Delta-Sigma Modulator for Biomedical Ultrasound Beamformer Using Digital ELD Compensation and FIR Feedback
1699 -- 1706Rui Wang, Deping Huang, Tianshi He, Jinghong Chen, Yang You, Ping Gui. Effect of OPAMP Input Offset on Continuous-Time ΔΣ Modulators With Current-Mode DACs
1707 -- 1715Haiyang Zhu, Ron Kapusta, Yong-Bin Kim. Noise Reduction Technique Through Bandwidth Switching for Switched-Capacitor Amplifier
1716 -- 1725Mo Huang, Dihu Chen, Jianping Guo, Hui Ye, Ken Xu, Xiaofeng Liang, Yan Lu. A CMOS Delta-Sigma PLL Transmitter with Efficient Modulation Bandwidth Calibration
1726 -- 1736Ramses Pierco, Guy Torfs, Jochen Verbrugghe, Benoit Bakeroot, Johan Bauwelinck. A 16 Channel High-Voltage Driver with 14 Bit Resolution for Driving Piezoelectric Actuators
1737 -- 1746Eleonora Franchi Scarselli, Luca Perilli, Luca Perugini, Roberto Canegallo. A 40 nm CMOS I/O Pad Design With Embedded Capacitive Coupling Receiver for Non-Contact Wafer Probe Test
1747 -- 1756Enrico Macrelli, Aldo Romani, Rudi Paolo Paganelli, Antonio Camarda, Marco Tartagni. Design of Low-Voltage Integrated Step-up Oscillators with Microtransformers for Energy Harvesting Applications
1757 -- 1765Erya Deng, Yue Zhang, Wang Kang, Bernard Dieny, Jacques-Olivier Klein, Guillaume Prenat, Weisheng Zhao. Synchronous 8-bit Non-Volatile Full-Adder based on Spin Transfer Torque Magnetic Tunnel Junction
1766 -- 1775Ignatius Bezzam, Chakravarthy Mathiazhagan, Tezaswi Raja, Shoba Krishnan. An Energy-Recovering Reconfigurable Series Resonant Clocking Scheme for Wide Frequency Operation
1776 -- 1784Byungkyu Song, Taehui Na, Jisu Kim, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. Latch Offset Cancellation Sense Amplifier for Deep Submicrometer STT-RAM
1785 -- 1793Vikram B. Suresh, Wayne P. Burleson. Entropy and Energy Bounds for Metastability Based TRNG with Lightweight Post-Processing
1794 -- 1804Yu-Min Lin, Huai-Ting Li, Ming-Han Chung, An-Yeu Wu. Byte-Reconfigurable LDPC Codec Design With Application to High-Performance ECC of NAND Flash Memory Systems
1805 -- 1814Mohsen Hayati, Moslem Nouri, Saeed Haghiri, Derek Abbott. Digital Multiplierless Realization of Two Coupled Biological Morris-Lecar Neuron Model
1815 -- 1824Alireza Mehrnia, Alan N. Willson Jr.. Further Desensitized FIR Halfband Filters
1825 -- 1835Michele Scarpiniti, Danilo Comminiello, Raffaele Parisi, Aurelio Uncini. Novel Cascade Spline Architectures for the Identification of Nonlinear Systems
1836 -- 1844Kexin Liu, Henghui Zhu, Jinhu Lu. Bridging the Gap Between Transmission Noise and Sampled Data for Robust Consensus of Multi-Agent Systems
1845 -- 1853Antonio Buonomo, Alessandro Lo Schiavo. Analysis and Design of Dual-Mode CMOS LC-VCOs
1854 -- 1862Osama Ullah Khan, David D. Wentzloff. 8.1 nJ/b 2.4 GHz Short-Range Communication Receiver in 65 nm CMOS
1863 -- 1872Reza Meraji, S. M. Yasser Sherazi, John B. Anderson, Henrik Sjöland, Viktor Öwall. Low Power Analog and Digital (7, 5) Convolutional Decoders in 65 nm CMOS
1873 -- 1882Ting-Kuei Kuan, Shen-Iuan Liu. A Loop Gain Optimization Technique for Integer-N TDC-Based Phase-Locked Loops
1883 -- 1893Hyunji Koo, Choul-Young Kim, Songcheol Hong. Design and Analysis of 239 GHz CMOS Push-Push Transformer-Based VCO With High Efficiency and Wide Tuning Range

Volume 62-I, Issue 6

1453 -- 1462Alfio Dario Grasso, Davide Marano, Gaetano Palumbo, Salvatore Pennisi. Design Methodology of Subthreshold Three-Stage CMOS OTAs Suitable for Ultra-Low-Power Low-Area and High Driving Capability
1463 -- 1471Marijn Verbeke, Pieter Rombouts, Arno Vyncke, Guy Torfs. Influence of Jitter on Limit Cycles in Bang-Bang Clock and Data Recovery Circuits
1472 -- 1480Dae Hyun Kwon, Young-Seok Park, Woo-Young Choi. A clock and data recovery circuit with programmable multi-level phase detector characteristics and a built-in jitter monitor
1481 -- 1488Hussein Adel, Marc Sabut, Marie-Minerve Louërat. Split ADC Based Fully Deterministic Multistage Calibration for High Speed Pipeline ADCs
1489 -- 1498Sha Tao, Ana Rusu. A Power-Efficient Continuous-Time Incremental Sigma-Delta ADC for Neural Recording Systems
1499 -- 1507Wenjie Feng, Wenquan Che, Quan Xue. Balanced filters with wideband common mode suppression using dual-mode ring resonators
1508 -- 1517Yinan Wang, Håkan Johansson, Hui Xu, Zhaolin Sun. Joint Blind Calibration for Mixed Mismatches in Two-Channel Time-Interleaved ADCs
1518 -- 1527Roberto Gómez-Garcia, Raul Loeches-Sanchez, Dimitra Psychogiou, Dimitrios Peroulis. Single/multi-band Wilkinson-type power dividers with embedded transversal filtering sections and application to channelized filters
1528 -- 1537Ping-Hsuan Hsieh, Chih-Hsien Chou, Tao Chiang. An RF Energy Harvester With 44.1% PCE at Input Available Power of -12 dBm
1538 -- 1545Younghwi Yang, Juhyun Park, Seung Chul Song, Joseph Wang, Geoffrey Yeap, Seong-Ook Jung. SRAM Design for 22-nm ETSOI Technology: Selective Cell Current Boosting and Asymmetric Back-Gate Write-Assist Circuit
1546 -- 1554Samer Houri, Gérard Billiot, Marc Belleville, Alexandre Valentian, Hervé Fanet. Limits of CMOS Technology and Interest of NEMS Relays for Adiabatic Logic Applications
1555 -- 1563Hanwool Jeong, Taewon Kim, Kyoman Kang, Taejoong Song, Gyu-Hong Kim, Hyo-Sig Won, Seong-Ook Jung. Switching pMOS Sense Amplifier for High-Density Low-Voltage Single-Ended SRAM
1564 -- 1571Byung-Do Yang. Low-Power and Area-Efficient Shift Register Using Pulsed Latches
1572 -- 1581Shin-Chi Lai, Chih-Hao Liu, Ling-Yi Wang, Shin-Hao Chen, Ke-Horng Chen. 11.25-ms-Group-Delay and Low-Complexity Algorithm Design of 18-Band Quasi-ANSI S1.11 1/3 Octave Digital Filterbank for Hearing Aids
1582 -- 1590Chien-Cheng Tseng, Su-Ling Lee. Designs of Discrete-Time Generalized Fractional Order Differentiator, Integrator and Hilbert Transformer
1591 -- 1598Paolo Maffezzoni, Bichoy Bahr, Zheng Zhang, Luca Daniel. Oscillator Array Models for Associative Memory and Pattern Recognition
1599 -- 1606Hai-Tao Zhang, Zhaomeng Cheng, Guanrong Chen, Chunguang Li. Model predictive flocking control for second-order multi-agent systems with input constraints
1607 -- 1616Dongsheng Yu, Herbert Ho-Ching Iu, Yan Liang, Tyrone Fernando, Leon O. Chua. Dynamic Behavior of Coupled Memristor Circuits
1617 -- 1626Yi-Da Wu, Hsin Chen. The Diffusion Network in Analog VLSI Exploiting Noise-Induced Stochastic Dynamics to Regenerate Various Continuous Paths
1627 -- 1636Hugo Cruz, Hong-Yi Huang, Shuenn-Yuh Lee, Ching-Hsing Luo. A 1.3 mW low-IF, current-reuse, and current-bleeding RF front-end for the MICS band with sensitivity of -97 dbm
1637 -- 1647Yi Fang, Lin Wang 0003, Pingping Chen, Jing Xu, Guanrong Chen, Weikai Xu. Design and Analysis of a DCSK-ARQ/CARQ System Over Multipath Fading Channels
1648 -- 1657Jooseung Kim, Dongsu Kim, Yunsung Cho, Daehyun Kang, Byungjoon Park, Kyunghoon Moon, Seungbeom Koo, Bumman Kim. Highly Efficient RF Transmitter Over Broad Average Power Range Using Multilevel Envelope-Tracking Power Amplifier
1658 -- 1667Mohammad Sadegh Jalali, Clifford Ting, Joshua Liang, Ali Sheikholeslami, Masaya Kibune, Hirotaka Tamura. A 3x blind ADC-based CDR for a 20 dB loss channel
1668 -- 1677Vincenzo Fiore, Placido Battiato, Sahel Abdinia, Stéphanie Jacobs, Isabelle Chartier, Romain Coppard, Gerhard Klink, Eugenio Cantatore, Egidio Ragonese, Giuseppe Palmisano. An Integrated 13.56-MHz RFID Tag in a Printed Organic Complementary TFT Technology on Flexible Substrate
1678 -- 1687Edward K. F. Lee. A Discrete Controlled Fully Integrated Class E Coil Driver With Power Efficient ASK Modulation for Powering Biomedical Implants

Volume 62-I, Issue 5

1217 -- 1219Mario di Bernardo, Gianluca Setti, Wouter A. Serdijn, Yong Lian. Guest Editorial Special Section on the 2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014)
1220 -- 1229Chenxin Zhang, Hemanth Prabhu, Yangxurui Liu, Liang Liu, Ove Edfors, Viktor Öwall. Energy Efficient Group-Sort QRD Processor With On-Line Update for MIMO Channel Pre-Processing
1230 -- 1239Edwin Choque Pillco, Luís F. C. Alberto. On the Foundations of Stability Analysis of Power Systems in Time Scales
1240 -- 1249Matthias Lorenz, Rudolf Ritter, Jens Anders, Maurits Ortmanns. Estimation of Non-Idealities in Sigma-Delta Modulators for Test and Correction Using Unscented Kalman Filters
1250 -- 1259Kanupriya Bhardwaj, Thomas H. Lee. A Phase-Interpolation and Quadrature-Generation Method Using Parametric Energy Transfer in CMOS
1260 -- 1269Russell Jeter, Igor Belykh. Synchronization in On-Off Stochastic Networks: Windows of Opportunity
1270 -- 1278Hadi Heidari, Edoardo Bonizzoni, Umberto Gatti, Franco Maloberti. A CMOS Current-Mode Magnetic Hall Sensor With Integrated Front-End
1279 -- 1287Wen Bin Ye, Ya Jun Yu. Two-Step Optimization Approach for the Design of Multiplierless Linear-Phase FIR Filters
1288 -- 1295Keiji Kishine, Hiromi Inaba, Hiroshi Inoue, Makoto Nakamura, Akira Tsuchiya, Hiroaki Katsurai, Hidetoshi Onodera. A Multi-Rate Burst-Mode CDR Using a GVCO With Symmetric Loops for Instantaneous Phase Locking in 65-nm CMOS
1296 -- 1305David E. Bellasi, Riccardo Rovatti, Luca Benini, Gianluca Setti. A Low-Power Architecture for Punctured Compressed Sensing and Estimation in Wireless Sensor-Nodes
1306 -- 1314Benwei Xu, Yun Chiu. Comprehensive Background Calibration of Time-Interleaved Analog-to-Digital Converters
1315 -- 1324Paolo Stefano Crovetti. A Digital-Based Virtual Voltage Reference
1325 -- 1334Arindam Sanyal, Long Chen, Nan Sun. Dynamic Element Matching With Signal-Independent Element Transition Rates for Multibit ΔΣ Modulators
1335 -- 1344Minsoo Choi, Jae-Yoon Sim, Hong June Park, Byungsub Kim. An Approximate Closed-Form Transfer Function Model for Diverse Differential Interconnects
1345 -- 1352Zisong Wang, Shengxi Diao, Lin He, Xicheng Jiang, Fujiang Lin. Analysis of Current Efficiency for CMOS Class-B LC Oscillators
1353 -- 1361Darjn Esposito, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio Giuseppe Maria Strollo. Variable Latency Speculative Han-Carlson Adder
1362 -- 1371Minjie Lv, Hongbin Sun, Qiwei Ren, Bing Yu, Jingmin Xin, Nanning Zheng. Logic-DRAM Co-Design to Exploit the Efficient Repair Technique for Stacked DRAM
1372 -- 1381Jun Han, Renfeng Dou, Lingyun Zeng, Shuai Wang, Zhiyi Yu, Xiaoyang Zeng. A Heterogeneous Multicore Crypto-Processor With Flexible Long-Word-Length Computation
1382 -- 1391Yakov Kaplan, Shmuel Wimer. Mixing Drivers in Clock-Tree for Power Supply Noise Reduction
1392 -- 1401Yibin Hong, Yong Lian. A Memristor-Based Continuous-Time Digital FIR Filter for Biomedical Signal Processing
1402 -- 1411Yang Zhang, Yi Shen, Xiaoping Wang, Lina Cao. A Novel Design for Memristor-Based Logic Switch and Crossbar Circuits
1412 -- 1420Padmanabhan Madampu Suryasarman, Andreas Springer. A Comparative Analysis of Adaptive Digital Predistortion Algorithms for Multiple Antenna Transmitters
1421 -- 1430Sachin Kumawat, Rahul Shrestha, Nikunj Daga, Roy P. Paily. High-Throughput LDPC-Decoder Architecture Using Efficient Comparison Techniques & Dynamic Multi-Frame Processing Schedule
1431 -- 1440Yushi Zhou, Norman M. Filiol, Fei Yuan. A Quadrature Charge-Domain Sampling Mixer With Embedded FIR, IIR, and N-Path Filters
1441 -- 1450Ke Huang, Ziqiang Wang, Xuqiang Zheng, Chun Zhang, Zhihua Wang. A 80 mW 40 Gb/s Transmitter With Automatic Serializing Time Window Search and 2-tap Pre-Emphasis in 65 nm CMOS Technology

Volume 62-I, Issue 4

929 -- 937A. Gokcen Mahmutoglu, Alper Demir. Analysis of Low-Frequency Noise in Switched MOSFET Circuits: Revisited and Clarified
938 -- 947Shunta Iguchi, Pyungwoo Yeon, Hiroshi Fuketa, Koichi Ishida, Takayasu Sakurai, Makoto Takamiya. Wireless Power Transfer With Zero-Phase-Difference Capacitance Control
948 -- 956Zohaib Hameed, Kambiz Moez. A 3.2 V -15 dBm Adaptive Threshold-Voltage Compensated RF Energy Harvester in 130 nm CMOS
957 -- 966Thomas Souvignet, Bruno Allard, Xuefang Lin-Shi. Sampled-Data Modeling of Switched- Capacitor Voltage Regulator With Frequency-Modulation Control
967 -- 975Lucas G. de Carli, Yuri Juppa, Adilson J. Cardoso, Carlos Galup-Montoro, Márcio C. Schneider. Maximizing the Power Conversion Efficiency of Ultra-Low-Voltage CMOS Multi-Stage Rectifiers
976 -- 985Yao Zhu, Yuanjin Zheng, Yuan Gao, I. Made Darmayuda, Chengliang Sun, Minkyu Je, Alex Yuandong Gu. An Energy Autonomous 400 MHz Active Wireless SAW Temperature Sensor Powered by Vibration Energy Harvesting
986 -- 994Kai Wang, Michael Z. Q. Chen. Minimal Realizations of Three-Port Resistive Networks
995 -- 1004Ding Nie, Bertrand M. Hochwald. Broadband Matching Bounds for Coupled Loads
1005 -- 1014Xinwang Zhang, Baoyong Chi, Zhihua Wang. A 0.1-1.5 GHz Harmonic Rejection Receiver Front-End With Phase Ambiguity Correction, Vector Gain Calibration and Blocker-Resilient TIA
1015 -- 1024Peng Chen, Songbai He. Investigation of Inverse Class-E Power Amplifier at Sub-Nominal Condition for Any Duty Ratio
1025 -- 1032Chak-Fong Cheang, Ka-Fai Un, Wei-Han Yu, Pui-In Mak, Rui Paulo Martins. A Combinatorial Impairment-Compensation Digital Predistorter for a Sub-GHz IEEE 802.11af-WLAN CMOS Transmitter Covering a 10x-Wide RF Bandwidth
1033 -- 1041Seyed Mohammadreza Fatemi, Mohammad Sharifkhani, Ali Fotowat Ahmady. A Unified Solution for Super-Regenerative Systems With Application to Correlator-Based UWB Transceivers
1042 -- 1051Fanta Chen, Jen-Ming Wu, Mau-Chung Frank Chang. 40-Gb/s 0.7-V 2: 1 MUX and 1: 2 DEMUX with Transformer-Coupled Technique for SerDes Interface
1052 -- 1061Thomas A. F. Theunisse, Jun Chai, Ricardo G. Sanfelice, W. P. M. H. Heemels. Robust Global Stabilization of the DC-DC Boost Converter via Hybrid Control
1062 -- 1070Hanwool Jeong, Taewon Kim, Younghwi Yang, Taejoong Song, Gyu-Hong Kim, Hyo-Sig Won, Seong-Ook Jung. Offset-Compensated Cross-Coupled PFET Bit-Line Conditioning and Selective Negative Bit-Line Write Assist for High-Density Low-Power SRAM
1071 -- 1080Indranil Hatai, Indrajit Chakrabarti, Swapna Banerjee. An Efficient Constant Multiplier Architecture Based on Vertical-Horizontal Binary Common Sub-expression Elimination Algorithm for Reconfigurable FIR Filter Synthesis
1081 -- 1090Botang Shao, Peng Li. Array-Based Approximate Arithmetic Computing: A General Model and Applications to Multiplier and Squarer Design
1091 -- 1098Chiou-Yng Lee, Pramod Kumar Meher. m) Using Generalized (a, b)-Way Karatsuba Algorithm
1099 -- 1108Chia-Hsiang Yang, Chun-Wei Chou, Chia-Shen Hsu, Chiao-En Chen. A Systolic Array Based GTD Processor With a Parallel Algorithm
1109 -- 1116Kejie Huang, Rong Zhao, Yong Lian. A Low Power and High Sensing Margin Non-Volatile Full Adder Using Racetrack Memory
1117 -- 1125Moslem Nouri, Arash Ahmadi, Shahpour Alirezaee, Gholamreza Karimi, Majid Ahmadi, Derek Abbott. A Hopf Resonator for 2-D Artificial Cochlea: Piecewise Linear Model and Digital Implementation
1126 -- 1135Inhee Lee, Gyouho Kim, Suyoung Bang, Adriane Wolfe, Richard Bell, Seokhyeon Jeong, Yejoong Kim, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David Blaauw, Yoonmyung Lee. System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells
1136 -- 1145Zhuo Wang, Robert E. Schapire, Naveen Verma. Error Adaptive Classifier Boosting (EACB): Leveraging Data-Driven Training Towards Hardware Resilience for Signal Inference
1146 -- 1155Stephen Richardson, Dejan Markovic, Andrew Danowitz, John Brunhaver, Mark Horowitz. Building Conflict-Free FFT Schedules
1156 -- 1164Riadul Islam, Matthew R. Guthaus. Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop
1165 -- 1174Alon Ascoli, Stefan Slesazeck, Hannes Mahne, Ronald Tetzlaff, Thomas Mikolajick. Nonlinear Dynamics of a Locally-Active Memristor
1175 -- 1184Lucia Valentina Gambuzza, Arturo Buscarino, Luigi Fortuna, Mattia Frasca. Memristor-Based Adaptive Coupling for Consensus and Synchronization
1185 -- 1194Hui Liu, Ming Cao, Chai Wah Wu, Junan Lu, Chi K. Tse. Synchronization in Directed Complex Networks Using Graph Comparison Tools
1195 -- 1204Jiajing Wu, Chi K. Tse, Francis C. M. Lau. Concept of Node Usage Probability From Complex Networks and Its Applications to Communication Network Design
1205 -- 1214Jienan Chen, JianHao Hu, Gerald E. Sobelman. Stochastic Iterative MIMO Detection System: Algorithm and Hardware Design

Volume 62-I, Issue 3

617 -- 624Xing Li, Chi-Ying Tsui, Wing-Hung Ki. Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
625 -- 634Marius Neag, Raul Onet, Istvan Kovacs, Paul Martari. Comparative Analysis of Simulation-Based Methods for Deriving the Phase- and Gain-Margins of Feedback Circuits With Op-Amps
635 -- 644Yongsun Lee, Mina Kim, Taeho Seong, Jaehyouk Choi. A Low Phase Noise Injection-Locked Programmable Reference Clock Multiplier With a Two-Phase PVT-Calibrator for ΔΣ PLLs
645 -- 653Achille Donida, Remy Cellier, Angelo Nagari, Piero Malcovati, Andrea Baschirotto. A 40-nm CMOS, 1.1-V, 101-dB Dynamic-Range, 1.7-mW Continuous-Time ΣΔ ADC for a Digital Closed-Loop Class-D Amplifier
654 -- 661Sudipta Sarkar, Yuan Zhou, Brian Elies, Yun Chiu. PN-Assisted Deterministic Digital Background Calibration of Multistage Split-Pipelined ADC
662 -- 670Quanzhen Duan, Jeongjin Roh. A 1.2-V 4.2- ppm°C High-Order Curvature-Compensated CMOS Bandgap Reference
671 -- 679Yao Liu, Reza Lotfi, Yongchang Hu, Wouter A. Serdijn. A Comparative Analysis of Phase-Domain ADC and Amplitude-Domain IQ ADC
680 -- 688Anders Jakobsson, Adriana Serban, Shaofang Gong. Implementation of Quantized-State System Models for a PLL Loop Filter Using Verilog-AMS
689 -- 696Zhangming Zhu, Zheng Qiu, Maliang Liu, Ruixue Ding. A 6-to-10-Bit 0.5 V-to-0.9 V Reconfigurable 2 MS/s Power Scalable SAR ADC in 0.18 µm CMOS
697 -- 706Jun Zhou, Chao Wang, Xin Liu, Xin Zhang, Minkyu Je. An Ultra-Low Voltage Level Shifter Using Revised Wilson Current Mirror for Fast and Energy-Efficient Wide-Range Voltage Conversion from Sub-Threshold to I/O Voltage
707 -- 716Yan Lu, Yipeng Wang, Quan Pan, Wing-Hung Ki, C. Patrick Yue. A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
717 -- 724Ahmed Ashry, Diomadson Belfort, Hassan Aboushady. Phase Noise Effect on Sine-Shaped Feedback DACs Used in Continuous-Time ΣΔ ADCs
725 -- 732Mark E. Halpern, David C. Ng. Optimal Tuning of Inductive Wireless Power Links: Limits of Performance
733 -- 742Chenxin Zhang, Liang Liu, Dejan Markovic, Viktor Öwall. A Heterogeneous Reconfigurable Cell Array for MIMO Signal Processing
743 -- 751Ming-Chiuan Su, Wei-Zen Chen, Pei-Si Wu, Yu-Hsian Chen, Chao-Cheng Lee, Shyh-Jye Jou. A 10-Gb/s, 1.24 pJ/bit, Burst-Mode Clock and Data Recovery With Jitter Suppression
752 -- 760Chua-Chin Wang, Chih-Lin Chen, Zong-You Hou, Yi Hu, Jam Wem Lee, Wan-Yen Lin, Yi-Feng Chang, Chia-Wei Hsu, Ming-Hsiang Song. A 60 V Tolerance Transceiver With ESD Protection for FlexRay-Based Communication Systems
761 -- 770Katayoun Neshatpour, Mahdi Shabany, P. Glenn Gulak. A High-Throughput VLSI Architecture for Hard and Soft SC-FDMA MIMO Detectors
771 -- 780Shuhei Tanakamaru, Yuta Kitamura, Senju Yamazaki, Tsukasa Tokutomi, Ken Takeuchi. Highly Reliable Coding Methods for Emerging Applications: Archive and Enterprise Solid-State Drives (SSDs)
781 -- 790Taeho Seong, Jae-Joon Kim, Jaehyouk Choi. Analysis and Design of a Core-Size-Scalable Low Phase Noise LC-VCO for Multi-Standard Cellular Transceivers
791 -- 798Pere Palà-Schönwälder, Jordi Bonet-Dalmau, Alexis Lopez-Riera, F. Xavier Moncunill-Geniz, Francisco del Águìla López, M. Rosa Giralt-Mas. Superregenerative Reception of Narrowband FSK Modulations
799 -- 806Xinmin Yu, Hooman Rashtian, Shahriar Mirabbasi, Partha Pratim Pande, Deuk Hyoun Heo. An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip
807 -- 815Shlomo Greenberg, Joseph Rabinowicz, Erez Manor. Selective State Retention Power Gating Based on Formal Verification
816 -- 824Seongbo Shim, Jae-Wook Lee, Youngsoo Shin. An Analytical Approach to Thermal Design and Optimization With a Temperature-Dependent Power Model
825 -- 834Zhaomeng Cheng, Hai-Tao Zhang, Ming-Can Fan, Guanrong Chen. Distributed Consensus of Multi-Agent Systems With Input Constraints: A Model Predictive Control Approach
835 -- 843Massimo Alioto, Elio Consoli, Gaetano Palumbo. Variations in Nanometer CMOS Flip-Flops: Part II - Energy Variability and Impact of Other Sources of Variations
844 -- 853Shuhei Tanakamaru, Hiroki Yamazawa, Tsukasa Tokutomi, Sheyang Ning, Ken Takeuchi. Design Methodology for Highly Reliable, High Performance ReRAM and 3-Bit/Cell MLC NAND Flash Solid-State Storage
854 -- 862Chiou-Yng Lee, Pramod Kumar Meher. Efficient Subquadratic Space Complexity Architectures for Parallel MPB Single- and Double-Multiplications for All Trinomials Using Toeplitz Matrix-Vector Product Decomposition
863 -- 872Xin Lou, Ya Jun Yu, Pramod Kumar Meher. Fine-Grained Critical Path Analysis and Optimization for Area-Time Efficient Realization of Multiple Constant Multiplications
873 -- 880María del Carmen Pérez, Rodrigo Garcia, Álvaro Hernández, Ana Jiménez, Cristina Diego, Jesús Ureña. SoC-Based Architecture for an Ultrasonic Phased Array With Encoded Transmissions
881 -- 890Jiafeng Xie, Pramod Kumar Meher, Zhi-Hong Mao. m) for NIST Recommended Pentanomials
891 -- 898Maheshwar Pd. Sah, Changju Yang, Hyongsuk Kim, Bharathwaj Muthuswamy, Jovan Jevtic, Leon O. Chua. A Generic Model of Memristors With Parasitic Components
899 -- 905Xiang Li, Pengchun Rao. Synchronizing a Weighted and Weakly-Connected Kuramoto-Oscillator Digraph With a Pacemaker
906 -- 915Mika Laiho, Jennifer O. Hasler, Jiantao Zhou, Chao Du, Wei Lu, Eero Lehtonen, Jussi H. Poikonen. FPAA/Memristor Hybrid Computing Infrastructure
916 -- 925Xin Zhang, Xinbo Ruan, Chi K. Tse. Impedance-Based Local Stability Criterion for DC Distributed Power Systems

Volume 62-I, Issue 2

337 -- 346Siamak Hafizi-Moori, Edmond Cretu. Weakly-Coupled Resonators in Capacitive Readout Circuits
347 -- 355Samira Bashiri, Sadok Aouini, Naim Ben Hamida, Calvin Plett. Analysis and Modeling of the Phase Detector Hysteresis in Bang-Bang PLLs
356 -- 365Lei Sun, Bing Li, Alex K. Y. Wong, Wai Tung Ng, Kong-Pang Pun. A Charge Recycling SAR ADC With a LSB-Down Switching Scheme
366 -- 375Yonghong Tao, Yong Lian. A 0.8-V, 1-MS/s, 10-bit SAR ADC for Multi-Channel Neural Recording
376 -- 384Xin Meng, Yi Zhang, Tao He, Gabor C. Temes. Low-Distortion Wideband Delta-Sigma ADCs With Shifted Loop Delays
385 -- 394Inna Vaisband, Mahmoud Saadat, Boris Murmann. A Closed-Loop Reconfigurable Switched-Capacitor DC-DC Converter for Sub-mW Energy Harvesting Applications
395 -- 404Jinn-Shyan Wang, Chun-Yuan Cheng. An All-Digital Delay-Locked Loop Using an In-Time Phase Maintenance Scheme for Low-Jitter Gigahertz Operations
405 -- 412Po-Hung Chen, Philex Ming-Yan Fan. An 83.4% Peak Efficiency Single-Inductor Multiple-Output Based Adaptive Gate Biasing DC-DC Converter for Thermoelectric Energy Harvesting
413 -- 422Michele Caruso, Matteo Bassi, Andrea Bevilacqua, Andrea Neviani. A 2-16 GHz 65 nm CMOS Stepped-Frequency Radar Transmitter With Harmonic Rejection for High-Resolution Medical Imaging Applications
423 -- 432Frédéric Broydé, Evelyne Clavelier. Some Properties of Multiple-Antenna-Port and Multiple-User-Port Antenna Tuners
433 -- 440Ettore Lorenzo Firrao, Anne-Johan Annema, Frank E. van Vliet, Bram Nauta. On the Minimum Number of States for Switchable Matching Networks
441 -- 448Bo Wang, Truc Quynh Nguyen, Anh-Tuan Do, Jun Zhou, Minkyu Je, Tony Tae-Hyoung Kim. Design of an Ultra-low Voltage 9T SRAM With Equalized Bitline Leakage and CAM-Assisted Energy Efficiency Improvement
449 -- 457Maher Jridi, Ayman Alfalou, Pramod Kumar Meher. A Generalized Algorithm and Reconfigurable Architecture for Efficient and Scalable Orthogonal Approximation of DCT
458 -- 467Mahsa Shoaran, Armin Tajalli, Massimo Alioto, Alexandre Schmid, Yusuf Leblebici. Analysis and Characterization of Variability in Subthreshold Source-Coupled Logic Circuits
468 -- 477Insup Shin, Jae-Joon Kim, Youngsoo Shin. Aggressive Voltage Scaling Through Fast Correction of Multiple Errors With Seamless Pipeline Operation
478 -- 487Kenichiro Cho, Takaya Miyano. Chaotic Cryptography Using Augmented Lorenz Equations Aided by Quantum Key Distribution
488 -- 496Zdenek Biolek, Dalibor Biolek, Viera Biolkova. (Co)content in Circuits With Memristive Elements
497 -- 506Przemyslaw Mroszczyk, Piotr Dudek. Trigger-Wave Asynchronous Cellular Logic Array for Fast Binary Image Processing
507 -- 516Xin-Ru Lee, Chih-Lung Chen, Hsie-Chia Chang, Chen-Yi Lee. A 7.92 Gb/s 437.2 mW Stochastic LDPC Decoder Chip for IEEE 802.15.3c Applications
517 -- 526Shahaboddin Moazzeni, Mohamad Sawan, Glenn E. R. Cowan. An Ultra-Low-Power Energy-Efficient Dual-Mode Wake-Up Receiver
527 -- 535Tanbir Haque, Rabia Tugce Yazicigil, Kyle Jung-Lin Pan, John Wright, Peter R. Kinget. Theory and Design of a Quadrature Analog-to-Information Converter for Energy-Efficient Wideband Spectrum Sensing
536 -- 544Ankush Goel, Behnam Analui, Hossein Hashemi. Tunable Duplexer With Passive Feed-Forward Cancellation to Improve the RX-TX Isolation
545 -- 553Wei-Chang Liu, Ting-Chen Wei, Ya-Shiue Huang, Ching-Da Chan, Shyh-Jye Jou. All-Digital Synchronization for SC/OFDM Mode of IEEE 802.15.3c and IEEE 802.11ad
554 -- 563Qiong Zou, Kaixue Ma, Kiat Seng Yeo. A Low Phase Noise and Wide Tuning Range Millimeter-Wave VCO Using Switchable Coupled VCO-Cores
564 -- 570Joakim Osth, Magnus Karlsson, Adriana Serban, Shaofang Gong. A Comparative Study of Single-Ended vs. Differential Six-Port Modulators for Wireless Communications
571 -- 579Maryam Jouzdani, Mohammad Mojtaba Ebrahimi, Karun Rawat, Mohamed Helaoui, Fadhel M. Ghannouchi. Envelope Tracked Pulse Gate Modulated GaN HEMT Power Amplifier for Wireless Transmitters
580 -- 589Won Namgoong. Adaptive and Robust Digital Harmonic-Reject Mixer With Optimized Local Oscillator Spacing
590 -- 599Juha Yli-Kaakinen, Vesa Lehtinen, Markku Renfors. Multirate Charge-Domain Filter Design for RF-Sampling Multi-Standard Receiver
600 -- 606Chang-Jin Jeong, Yang Sun, Seok-Kyun Han, Sang-Gug Lee. A 2.2 mW, 40 dB Automatic Gain Controllable Low Noise Amplifier for FM Receiver
607 -- 615Fabio Padovan, Marc Tiebout, Koen L. R. Mertens, Andrea Bevilacqua, Andrea Neviani. Design of Low-Noise K-Band SiGe Bipolar VCOs: Theory and Implementation

Volume 62-I, Issue 12

2793 -- 2794Shanthi Pavan. Outgoing Editorial
2795 -- 2805Pekka Keränen, Juha Kostamovaara. A Wide Range, 4.2 ps(rms) Precision CMOS TDC With Cyclic Interpolators Based on Switched-Frequency Ring Oscillators
2806 -- 2816Shanshan Dai, Ronald W. Knepper, Mark N. Horenstein. A 300-V LDMOS Analog-Multiplexed Driver for MEMS Devices
2817 -- 2828Soon-Won Kwon, Joon-Yeong Lee, Jinhee Lee, Kwangseok Han, Taeho Kim, Sangeun Lee, Jeong-Sup Lee, Taehun Yoon, Hyosup Won, Jinho Park, Hyeon-Min Bae. An Automatic Loop Gain Control Algorithm for Bang-Bang CDRs
2829 -- 2835Mohammad Saeed Sarafraz, Mohammad Saleh Tavazoei. Realizability of Fractional-Order Impedances by Passive Electrical Networks Composed of a Fractional Capacitor and RLC Components
2836 -- 2845Pramod Kumar Meher, Basant Kumar Mohanty, Sujit Kumar Patel, Soumya Ganguly, Thambipillai Srikanthan. Efficient VLSI Architecture for Decimation-in-Time Fast Fourier Transform of Real-Valued Data
2846 -- 2855Chiou-Yng Lee, Pramod Kumar Meher. m) Using Symmetric TMVP and Block Recombination Techniques
2856 -- 2863Robert L. Shuler. Porting and Scaling Strategies for Nanoscale CMOS RHBD
2864 -- 2873Hao Wang. A New Separable Two-dimensional Finite Impulse Response Filter Design With Sparse Coefficients
2874 -- 2885Tianqi Hong, Francisco de Leon. Lissajous Curve Methods for the Identification of Nonlinear Circuits: Calculation of a Physical Consistent Reactive Power
2886 -- 2897Marco Crepaldi, Matteo Stoppa, Paolo Motto Ros, Danilo Demarchi. An Analog-Mode Impulse Radio System for Ultra-Low Power Short-Range Audio Streaming
2898 -- 2907Taesong Hwang, Kamran Azadet, Ross S. Wilson, Jenshan Lin. Nonlinearity Modeling of a Chireix Outphasing Power Amplifier
2908 -- 2919Vinaya M. M., Roy P. Paily, Anil Mahanta. A New PVT Compensation Technique Based on Current Comparison for Low-Voltage, Near Sub-Threshold LNA
2920 -- 2928Injae Yoo, Bongjin Kim, In-Cheol Park. Reverse Rate Matching for Low-Power LTE-Advanced Turbo Decoders
2929 -- 2939Pei-Yun Tsai, Po-Cheng Lo, Fong-Jay Shih, Wen-Ji Jau, Meng Yuan Huang, Zheng-Yu Huang. A 4×4 MIMO-OFDM Baseband Receiver With 160 MHz Bandwidth for Indoor Gigabit Wireless Communications
2940 -- 2948Nunzio Spina, Vincenzo Fiore, Pierpaolo Lombardo, Egidio Ragonese, Giuseppe Palmisano. Current-Reuse Transformer-Coupled Oscillators With Output Power Combining for Galvanically Isolated Power Transfer Systems

Volume 62-I, Issue 11

2629 -- 2640Yuan Cao, Le Zhang, Siarhei S. Zalivaka, Chip-Hong Chang, Shoushun Chen. CMOS Image Sensor Based Physical Unclonable Function for Coherent Sensor-Level Authentication
2641 -- 2651Gianluca Giustolisi, Gaetano Palumbo. Three-Stage Dynamic-Biased CMOS Amplifier With a Robust Optimization of the Settling Time
2652 -- 2663Dimitri Galayko, Andrii Dudka, Armine Karami, Eoghan O'Riordan, Elena Blokhina, Orla Feely, Philippe Basset. Capacitive Energy Conversion With Circuits Implementing a Rectangular Charge-Voltage Cycle - Part 1: Analysis of the Electrical Domain
2664 -- 2673Eoghan O'Riordan, Andrii Dudka, Dimitri Galayko, Philippe Basset, Orla Feely, Elena Blokhina. Capacitive Energy Conversion With Circuits Implementing a Rectangular Charge-Voltage Cycle Part 2: Electromechanical and Nonlinear Analysis
2674 -- 2684Gajendranath Chowdary, Shouri Chatterjee. A 300-nW Sensitive, 50-nA DC-DC Converter for Energy Harvesting Applications
2685 -- 2694Schekeb Fateh, Philipp Schoenle, Luca Bettini, Giovanni Rovere, Luca Benini, Qiuting Huang. A Reconfigurable 5-to-14 bit SAR ADC for Battery-Powered Medical Instrumentation
2695 -- 2705Xin Lou, Ya Jun Yu, Pramod Kumar Meher. New Approach to the Reduction of Sign-Extension Overhead for Efficient Implementation of Multiple Constant Multiplications
2706 -- 2717Zhiting Yan, Guanghui He, Yifan Ren, Weifeng He, Jian-Fei Jiang, Zhigang Mao. Design and Implementation of Flexible Dual-Mode Soft-Output MIMO Detector With Channel Preprocessing
2718 -- 2729David E. Bellasi, Luca Benini. Energy-Efficiency Analysis of Analog and Digital Compressive Sensing in Wireless Sensors
2730 -- 2738Chunyan Wang, Zongyu Zuo, Zongli Lin, Zhengtao Ding. Consensus Control of a Class of Lipschitz Nonlinear Systems With Input Delay
2739 -- 2747Henri Ruotsalainen, Norbert Leder, Bernhard Pichler, Holger Arthaber, Gottfried Magerl. Equivalent Complex Baseband Model for Digital Transmitters Based on 1-bit Quadrature Pulse Encoding
2748 -- 2758Mohamed Zgaren, Mohamad Sawan. A Low-Power Dual-Injection-Locked RF Receiver With FSK-to-OOK Conversion for Biomedical Implants
2759 -- 2770Dong Yang, Caroline Andrews, Alyosha C. Molnar. Optimized Design of N-Phase Passive Mixer-First Receivers in Wideband Operation
2771 -- 2780Yuval Beck, Nir Eden, Shira Sandbank, Sigmond Singer, Keyue Ma Smedley. On Loss Mechanisms of Complex Switched Capacitor Converters
2781 -- 2791Tomoharu Nagashima, Xiuqin Wei, Elisenda Bou, Eduard Alarcón, Marian K. Kazimierczuk, Hiroo Sekiya. 2 DC-DC Converter for Efficiency Enhancement

Volume 62-I, Issue 10

2369 -- 2379Muhammad Ahmadi, Won Namgoong. Comparator Power Minimization Analysis for SAR ADC Using Multiple Comparators
2380 -- 2390Omar Abdelfattah, Gordon W. Roberts, Ishiang Shih, Yi-Chi Shih. An Ultra-Low-Voltage CMOS Process-Insensitive Self-Biased OTA With Rail-to-Rail Input Range
2391 -- 2400Asma Laraba, Haralampos-G. D. Stratigopoulos, Salvador Mir, Herve Naudet. Exploiting Pipeline ADC Properties for a Reduced-Code Linearity Test Technique
2401 -- 2410Kun Zhou, Diyi Chen, Xu Zhang, Rui Zhou, Herbert Ho-Ching Iu. Fractional-Order Three-Dimensional $\nabla\times n$ Circuit Network
2411 -- 2422Jung-Mao Lin, Ching-Yuan Yang. A Fast-Locking All-Digital Phase-Locked Loop With Dynamic Loop Bandwidth Adjustment
2423 -- 2433Thomas Charisoulis, Douglas Frey, Miltiadis K. Hatalis. Current Feedback Compensation Circuit for 2T1C LED Displays: Method
2434 -- 2444Li Lu, Bozorgmehr Vosooghi, Liang Dai, Changzhi Li. A 0.7 V Relative Temperature Sensor With a Non-Calibrated $\pm 1~^{\circ}{\rm C}$ 3$\sigma$ Relative Inaccuracy
2445 -- 2453Yu-Te Liao, Shih-Chieh Huang, Fuyuan Cheng, Tsung-Heng Tsai. A Fully-Integrated Wireless Bondwire Accelerometer With Closed-loop Readout Architecture
2454 -- 2464Gennady A. Leonov, Nikolay V. Kuznetsov, Marat V. Yuldashev, Renat V. Yuldashev. Hold-In, Pull-In, and Lock-In Ranges of PLL Circuits: Rigorous Mathematical Definitions and Limitations of Classical Theory
2465 -- 2475Wasim Hussain, Yves Blaquière, Yvon Savaria. An Interface for Open-Drain Bidirectional Communication in Field Programmable Interconnection Networks
2476 -- 2484Alfio Dario Grasso, Gaetano Palumbo, Salvatore Pennisi. High-Performance Four-Stage CMOS OTA Suitable for Large Capacitive Loads
2485 -- 2494Javier Agustin, Marisa López-Vallejo. An In-Depth Analysis of Ring Oscillators: Exploiting Their Configurable Duty-Cycle
2495 -- 2503Young-Ju Kim 0001, Sang-Hye Chung, Kyung-Soo Ha, Seung-Jun Bae, Lee-Sup Kim. A 9.6 Gb/s 0.96 mW/Gb/s Forwarded Clock Receiver With High Jitter Tolerance Using Mixing Cell Integrated Injection-Locked Oscillator
2504 -- 2511Suhas Illath Veetil, Mohamed Helaoui. Discrete Implementation and Linearization of a New Polar Modulator-Based Mixerless Wireless Transmitter Suitable for High Reconfigurability
2512 -- 2522Wei-cheng Sun, Wei-Hsuan Wu, Chia-Hsiang Yang, Yeong-Luh Ueng. An Iterative Detection and Decoding Receiver for LDPC-Coded MIMO Systems
2523 -- 2532Chia-Lung Lin, Chih-Lung Chen, Hsie-Chia Chang, Chen-Yi Lee. Jointly Designed Nonbinary LDPC Convolutional Codes and Memory-Based Decoder Architecture
2533 -- 2543Wanxin Ye, Kaixue Ma, Kiat Seng Yeo, Qiong Zou. A 65 nm CMOS Power Amplifier With Peak PAE above 18.9% From 57 to 66 GHz Using Synthesized Transformer-Based Matching Network
2544 -- 2554Jingyi Wang, Chen Xu, Jianwen Feng, Michael Z. Q. Chen, Xiaofan Wang, Yi Zhao. Synchronization in Moving Pulse-Coupled Oscillator Networks
2555 -- 2564Ning He, Dawei Shi. Event-Based Robust Sampled-Data Model Predictive Control: A Non-Monotonic Lyapunov Function Approach
2565 -- 2573Kai-Yu Hu, Shih-Mei Lin, Chien-Hung Tsai. A Fixed-Frequency Quasi-${\rm V}^{2}$ Hysteretic Buck Converter With PLL-Based Two-Stage Adaptive Window Control
2574 -- 2583Elisenda Bou-Balust, Aiguo Patrick Hu, Eduard Alarcón. Scalability Analysis of SIMO Non-Radiative Resonant Wireless Power Transfer Systems Based on Circuit Models
2584 -- 2593Zhangming Zhu, Yongyuan Li. A Floating Buck Controlled Multi-Mode Dimmable LED Driver Using a Stacked NMOS Switch
2594 -- 2605Wen-Liang Hsue, Wei-Ching Chang. Real Discrete Fractional Fourier, Hartley, Generalized Fourier and Generalized Hartley Transforms With Many Parameters
2606 -- 2616Fernando Chierchie, Sven Ole Aase. Volterra Models for Digital PWM and Their Inverses
2617 -- 2625Robert Rieger. Signal-Folding for Range-Enhanced Acquisition and Reconstruction

Volume 62-I, Issue 1

1 -- 9Kin Keung Lee, Tor Sverre Lande, Philipp Dominik Häfliger. A Sub-µW Bandgap Reference Circuit With an Inherent Curvature-Compensation Property
10 -- 18Yung-Hui Chung, Meng-Hsuan Wu, Hung-Sung Li. A 12-bit 8.47-fJ/Conversion-Step Capacitor-Swapping SAR ADC in 110-nm CMOS
19 -- 28Haoran Yu, Kamal El-Sankary, Ezz I. El-Masry. Distortion Analysis Using Volterra Series and Linearization Technique of Nano-Scale Bulk-Driven CMOS RF Amplifier
29 -- 38Dong Wu, Cencen Gao, Hui Liu, Nan Xie. A Low Power Double-Sampling Extended Counting ADC With Class-AB OTA for Sensor Arrays
39 -- 48Behnam Sedighi, Xiaobo Sharon Hu, Huichu Liu, Joseph J. Nahas, Michael T. Niemier. Analog Circuit Design Using Tunnel-FETs
49 -- 58John A. McNeill, Rabeeh Majidi, Jianping Gong. "Split ADC" Background Linearization of VCO-Based ADCs
59 -- 69Chao-Chang Chiu, Po-Hsien Huang, Moris Lin, Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, Chao-Cheng Lee. A 0.6 V Resistance-Locked Loop Embedded Digital Low Dropout Regulator in 40 nm CMOS With 80.5% Power Supply Rejection Improvement
70 -- 79Jin-Yi Lin, Chih-Cheng Hsieh. A 0.3 V 10-bit 1.17 f SAR ADC With Merge and Split Switching in 90 nm CMOS
80 -- 89Inhee Lee, Gunhee Han, Youngcheol Chae. A 2 mW, 50 dB DR, 10 MHz BW 5 × Interleaved Bandpass Delta-Sigma Modulator at 50 MHz IF
90 -- 99Chun-Wei Hsu, Karthik Tripurari, Shih-An Yu, Peter R. Kinget. A Sub-Sampling-Assisted Phase-Frequency Detector for Low-Noise PLLs With Robust Operation Under Supply Interference
100 -- 109Jaehyuk Choi, Jungsoon Shin, Byongmin Kang. An Architecture With Pipelined Background Suppression and In-Situ Noise Cancelling for 2D/3D CMOS Image Sensor
110 -- 119Jiafeng Xie, Pramod Kumar Meher, Zhi-Hong Mao. High-Throughput Finite Field Multipliers Using Redundant Basis for FPGA and ASIC Implementations
120 -- 129Chao Sun, Asuka Arakawa, Ken Takeuchi. SEA-SSD: A Storage Engine Assisted SSD With Application-Coupled Simulation Platform
130 -- 138Nerhun Yildiz, Evren Cesur, Kamer Kayaer, Vedat Tavsanoglu, Murathan Alpay. Architecture of a Fully Pipelined Real-Time Cellular Neural Network Emulator
139 -- 148Chao Wang, Jun Zhou, Roshan Weerasekera, Bin Zhao, Xin Liu, Philippe Royannez, Minkyu Je. BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems
149 -- 156Moshe Avital, Hadar Dagan, Itamar Levi, Osnat Keren, Alexander Fish. DPA-Secured Quasi-Adiabatic Logic (SQAL) for Low-Power Passive RFID Tags Employing S-Boxes
157 -- 166Donald Donglong Chen, Nele Mentens, Frederik Vercauteren, Sujoy Sinha Roy, Ray C. C. Cheung, Derek Pao, Ingrid Verbauwhede. High-Speed Polynomial Multiplication Architecture for Ring-LWE and SHE Cryptosystems
167 -- 176Hisato Fujisaka, Takeshi Kamio, Chang-Jun Ahn, Masahiro Sakamoto, Kazuhisa Haeiwa. A Sigma-Delta Domain Lowpass Wave Filter
177 -- 184Jesus Omar Lacruz, Francisco Garcia-Herrero, Javier Valls-Coquillat, David Declercq. One Minimum Only Trellis Decoder for Non-Binary Low-Density Parity-Check Codes
185 -- 194Kang-Yi Fan, Pei-Yun Tsai. An RLS Tracking and Iterative Detection Engine for Mobile MIMO-OFDM Systems
195 -- 204Aimin Jiang, Hon Keung Kwan, Yanping Zhu, Xiaofeng Liu, Ning Xu, Yibin Tang. Design of Sparse FIR Filters With Joint Optimization of Sparsity and Filter Order
205 -- 214Gourav Saha, Ramkrishna Pasumarthy, Prathamesh Khatavkar. Towards Analog Memristive Controllers
215 -- 223Shyam Prasad Adhikari, Hyongsuk Kim, Ram Kaji Budhathoki, Changju Yang, Leon O. Chua. A Circuit-Based Learning Architecture for Multilayer Neural Networks With Memristor Bridge Synapses
224 -- 233Jiajia Chen, Chip-Hong Chang, Feng Feng, Weiao Ding, Jiatao Ding. Novel Design Algorithm for Low Complexity Programmable FIR Filters Based on Extended Double Base Number System
234 -- 243Kim B. Ostman, Mikko Englund, Olli Viitala, Mikko Kaltiokallio, Kari Stadius, Kimmo Koli, Jussi Ryynänen. Analysis and Design of N-Path Filter Offset Tuning in a 0.7-2.7-GHz Receiver Front-End
244 -- 253Soo-Hwan Shin, Soon-Jae Kweon, Seong-Hun Jo, Yong-Chang Choi, Sangyoub Lee, Hyung-Joun Yoo. A 0.7-MHz-10-MHz CT+DT Hybrid Baseband Chain With Improved Passband Flatness for LTE Application
254 -- 262Ahmed Farouk Aref, Thomas M. Hone, Renato Negra. A Study of the Impact of Delay Mismatch on Linearity of Outphasing Transmitters
263 -- 272Lammert Duipmans, Remko E. Struiksma, Eric A. M. Klumperink, Bram Nauta, Frank E. van Vliet. Analysis of the Signal Transfer and Folding in N-Path Filters With a Series Inductance
273 -- 282Amin Ojani, Behzad Mesgarzadeh, Atila Alvandpour. Monte Carlo-Free Prediction of Spurious Performance for ECDLL-Based Synthesizers
283 -- 291Basant Kumar Mohanty. Novel Block-Formulation and Area-Delay-Efficient Reconfigurable Interpolation Filter Architecture for Multi-Standard SDR Applications
292 -- 301Chunshu Li, Min Li, Marian Verhelst, André Bourdoux, Liesbet Van der Perre, Sofie Pollin. On the General Mathematical Framework, Calibration/Compensation Method, and Applications of Non-Ideal Software Defined Harmonics Rejection Transceivers
302 -- 310Hoang Nguyen, Johnson I. Agbinya, John Devlin. FPGA-Based Implementation of Multiple Modes in Near Field Inductive Communication Using Frequency Splitting and MIMO Configuration
311 -- 319Yasuhiro Sugimoto, Toru Sai, Kei Watanabe, Mikio Abe. Feedback Loop Analysis and Optimized Compensation Slope of the Current-Mode Buck DC-DC Converter in DCM
320 -- 328Weiguo Lu, Shuang Lang, Luowei Zhou, Herbert Ho-Ching Iu, Tyrone Fernando. Improvement of Stability and Power Factor in PCM Controlled Boost PFC Converter With Hybrid Dynamic Compensation
329 -- 332Mohammad Saleh Tavazoei. Comments on "Chaotic Characteristics Analysis and Circuit Implementation for a Fractional-Order System"
333 -- 335Wan Mariam Wan Muda, Victor Sreeram, Minh B. Ha, Abdul Ghafoor. Comments on "Model-Order Reduction Using Variational Balanced Truncation With Spectral Shaping"