Journal: IEEE Trans. VLSI Syst.

Volume 1, Issue 4

398 -- 407Raghu Sastry, N. Ranganathan, Horst Bunke. VLSI architectures for polygon recognition
408 -- 414Anna Antola, Alberto Avai, Luca Breveglieri. Modular design methodologies for image processing architectures
415 -- 422Dinesh Somasekhar, V. Visvanathan. A 230-MHz half-bit level pipelined multiplier using true single-phase clocking
423 -- 431Abhijit Chatterjee, Rabindra K. Roy, Manuel A. d Abreu. Greedy hardware optimization for linear digital circuits using number splitting and refactorization
432 -- 440Patrick C. McGeer, Jagesh V. Sanghavi, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli. ESPRESSO-SIGNATURE: a new exact minimizer for logic functions
441 -- 445Vinaya Kumar Singh, A. A. Diwan. A heuristic for decomposition in multilevel logic optimization
446 -- 452W. K. Al-Assadi, Yashwant K. Malaiya, Anura P. Jayasumana. Faulty behavior of storage elements and its effects on sequential circuits
453 -- 461Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal. Path delay fault simulation of sequential circuits
462 -- 472Siddharth Bhingarde, Anand Panyam, Naveed A. Sherwani. Middle terminal cell models for efficient over-the-cell routing in high-performance circuits
473 -- 481Ed P. Huijbregts, Jochen A. G. Jess. General gate array routing using a k-terminal net routing algorithm with failure prediction
482 -- 490K. S. V. Gopalarao, Purnendu K. Mozumder, Duane S. Boning. An integrated technology CAD system for process and device designers
491 -- 502Robert Michael Owens, Thomas P. Kelliher, Mary Jane Irwin, Mohan Vishwanath, Raminder Singh Bajwa, W. L. Yang. The design and implementation of the Arithmetic Cube II, a VLSI signal processing system
503 -- 513Kaushik Roy, S. C. Prasad. Circuit activity based logic synthesis for low power reliable operations
514 -- 525K. De, P. Banerjee. PREST: a system for logic partitioning and resynthesis for testability
526 -- 536Dimitrios Kagaris, Spyros Tragoudas. Cost-effective LFSR synthesis for optimal pseudoexhaustive BIST test sets
537 -- 545D. Das, Sharad C. Seth, Vishwani D. Agrawal. Accurate computation of field reject ratio based on fault latency
546 -- 558D. D. Sharma, Fred J. Meyer, Dhiraj K. Pradhan. Yield optimization of modular and redundant multimegabit RAMs: a study of effectiveness of coding versus static redundancy using the center-satellite model
559 -- 562David C. Blight, Robert D. McLeod. An adaptive message passing environment for water scale systems
562 -- 566R. Varadarajan, F. Augustine. Efficient time-space mappings of nested loops onto multidimensional systolic arrays with a flexible buffer scheme
566 -- 571C. Bachelu, Martin Lefebvre. A study of the use of local interconnect in CMOS leaf cell design
571 -- 575C. Ying, J. Gu. Automated pin grid array package routing on multilayer ceramic substrates

Volume 1, Issue 3

233 -- 243Reinaldo A. Bergamaschi, Andreas Kuehlmann. A system for production use of high-level synthesis
244 -- 253J. Biesenack, M. Koster, A. Langmaier, S. Ledeux, S. Marz, Michael Payer, Michael Pilsl, S. Rumler, H. Soukup, Norbert Wehn, Peter Duzy. The Siemens high-level synthesis system CALLAS
254 -- 261Catherine H. Gebotys. Throughput optimized architectural synthesis
262 -- 267Ulrich Holtmann, Rolf Ernst. Experiments with low-level speculative computation based on multiple branch prediction
268 -- 281Dave Filo, David C. Ku, Claudionor José Nunes Coelho Jr., Giovanni De Micheli. Interface optimization for concurrent systems under timing constraints
282 -- 295D. Sreenivasa Rao, Fadi J. Kurdahi. Hierarchical design space exploration for a class of digital systems
296 -- 303Pradip K. Jha, Nikil D. Dutt. Rapid estimation for parameterized components in high-level synthesis
304 -- 318Subhrajit Bhattacharya, Franc Brglez, Sujit Dey. Transformations and resynthesis for testability of RT-level control-data path specifications
319 -- 327Frank H. M. Franssen, Florin Balasa, Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man. Modeling multidimensional data and control flow
328 -- 341Anthony J. Gadient, D. E. Thomas. A dynamic approach to controlling high-level synthesis CAD tools
342 -- 355Michele Favalli, Marcello Dalpasso, Piero Olivo, Bruno Riccò. Analysis of resistive bridging fault detection in BiCMOS digital ICs
356 -- 364Daniel G. Saab. Parallel-concurrent fault simulation
365 -- 379Amitava Majumdar, Sarma B. K. Vrudhula. Analysis of signal probability in logic circuits using stochastic models
380 -- 386Hyunchul Shin, Chunghee Kim. A simple yet effective technique for partitioning

Volume 1, Issue 2

88 -- 97Tom Chen, Glen Sunada. Design of a self-testing and self-repairing structure for highly hierarchical ultra-large capacity memory chips
98 -- 105Lishing Liu, Jih-Kwon Peir. Cache sampling by sets
106 -- 119Chris J. Myers, Teresa H. Y. Meng. Synthesis of timed asynchronous circuits
120 -- 125Jalil Fadavi-Ardekani. M×N Booth encoded multiplier generator using optimized Wallace trees
126 -- 137Horng-Fei Jyu, Sharad Malik, Srinivas Devadas, Kurt Keutzer. Statistical timing analysis of combinational logic circuits
138 -- 150A. Chatterjee. Concurrent error detection and fault-tolerance in linear analog circuits using continuous checksums
151 -- 163C. S. Li, Harald S. Stone, Y. Kwark, C. M. Olsen. Fully differential optical interconnections for high-speed digital systems
164 -- 167Philip E. Madrid, Brian Millar, Earl E. Swartzlander Jr.. Modified Booth algorithm for high radix fixed-point multiplication
167 -- 171Smaragda Konstantinidou. The selective extra stage butterfly
171 -- 174Joseph Varghese, Michael Butts, Jon Batcheller. An efficient logic emulation system
175 -- 190A. Sharma, R. Jain. Estimating architectural resources and performance for high-level synthesis applications
191 -- 202Keshab K. Parhi, Takao Nishitani. VLSI architectures for discrete wavelet transforms
203 -- 214Amar Mukherjee, N. Ranganathan, Jeffrey W. Flieder, Tinku Acharya. MARVLE: a VLSI chip for data compression using tree-based codes
215 -- 219T.-Y. Wuu, Sarma B. K. Vrudhula. A design of a fast and area efficient multi-input Muller C-element
219 -- 223S.-Y. Kuo, S.-C. Liang. Design and analysis of defect tolerant hierarchical sorting networks
224 -- 228C. Sul, Robert D. McLeod, Witold Pedrycz. Reliable and fast reconfigurable hierarchical interconnection networks for linear WSI arrays

Volume 1, Issue 1

7 -- 21Pallab K. Chatterjee, G. B. Larrabee. Gigabit age microelectronics and their manufacture
22 -- 30Mario Kovac, N. Ranganathan, M. Varanasi. SIGMA: a VLSI systolic array implementation of a Galois field GF(2 :::m:::) based multiplication and division algorithm
31 -- 45Raja Venkateswaran, Pinaki Mazumder. Coprocessor design for multilayer surface-mounted PCB routing
46 -- 55Fadi J. Kurdahi, Champaka Ramachandran. Evaluating layout area tradeoffs for high level applications
56 -- 62Y. He, Ugur Çilingiroglu, Edgar Sánchez-Sinencio. A high-density and low-power charge-based Hamming network
63 -- 71Richard Burch, Farid N. Najm, Ping Yang, Timothy N. Trick. A Monte Carlo approach for power estimation
72 -- 76John A. Nestor. Visual register-transfer description of VLSI microarchitectures
76 -- 79H. Lin, Fabrizio Lombardi, M. Lu. On the optimal reconfiguration of multipipeline arrays in the presence of faulty processing and switching elements