Journal: IEEE Trans. VLSI Syst.

Volume 8, Issue 6

639 -- 648P. Christie, Dirk Stroobandt. The interpretation and application of Rent s rule
649 -- 659Payman Zarkesh-Ha, Jeffrey A. Davis, James D. Meindl. Prediction of net-length distribution for global interconnects in a heterogeneous system-on-a-chip
660 -- 670Sek M. Chai, Tarek M. Taha, D. Scott Wills, James D. Meindl. Heterogeneous architecture models for interconnect-motivated system design
671 -- 678Arifur Rahman, Rafael Reif. System-level performance evaluation of three-dimensional integrated circuits
679 -- 688P. Christie. Rent exponent prediction methods
689 -- 692Qiang Chen, Jeffrey A. Davis, Payman Zarkesh-Ha, James D. Meindl. A compact physical via blockage model
693 -- 708Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos. Using dynamic cache management techniques to reduce energy in general purpose processors
709 -- 716Gayles Gayles, Thomas P. Kelliher, Robert Michael Owens, Mary Jane Irwin. The design of the MGAP-2: a micro-grained massively parallel array
717 -- 723Ali Keshavarzi, Kaushik Roy, Charles F. Hawkins. Intrinsic leakage in deep submicron CMOS ICs-measurement-based test solutions
724 -- 735S. Chattopadhyay, S. Adhikari, S. Sengupta, M. Pal. Highly regular, modular, and cascadable design of cellular automata-based pattern classifier
736 -- 741Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell. Improving path delay testability of sequential circuits
741 -- 746D. L. Hung, H. D. Cheng, S. Sengkhamyong. Design of a configurable accelerator for moment computation
747 -- 750Chittaranjan A. Mandal, P. P. Chakrabarti, Sujoy Ghose. GABIND: a GA approach to allocation and binding for the high-level synthesis of data paths
750 -- 754Bassam Shaer, David L. Landis, Sami A. Al-Arian. Partitioning algorithm to enhance pseudoexhaustive testing of digital VLSI circuits

Volume 8, Issue 5

469 -- 471Allen C.-H. Wu, Nikil D. Dutt. Guest editorial 11th international symposium on system-level synthesis and design (ISSS 98)
472 -- 491Petru Eles, Alex Doboli, Paul Pop, Zebo Peng. Scheduling with bus access optimization for distributed embedded systems
492 -- 502Sungjoo Yoo, Kiyoung Choi, Dong Sam Ha. Performance improvement of geographically distributed cosimulation by hierarchically grouped messages
503 -- 516Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu. Hierarchical system test by an IEEE 1149.5 MTM-bus slave-module interface core
517 -- 521Ying Zhao, Sharad Malik. Exact memory size estimation for array computations
522 -- 526Wonyong Sung, Soonhoi Ha. Memory efficient software synthesis with mixed coding style from dataflow graphs
526 -- 530Dominique Borrione, Julia Dushina, Laurence V. Pierre. A compositional model for the functional verification of high-level synthesis results
530 -- 533Guido Araujo, Paulo Centoducatte, Rodolfo Azevedo, Ricardo Pannain. Expression-tree-based algorithms for code compression on embedded RISC architectures
534 -- 541Bassam Shaer, Sami A. Al-Arian, David L. Landis. Partitioning sequential circuits for pseudoexhaustive testing
542 -- 557Montek Singh, Steven M. Nowick. Synthesis for logical initializability of synchronous finite-state machines
558 -- 572Christian Pacha, U. Auer, C. Burwick, Peter Glösekötter, A. Brennemann, W. Prost, F.-J. Tegude, K. F. Goser. Threshold logic circuit design of parallel adders using resonant tunneling devices
573 -- 583Allen E. Sjogren, Chris J. Myers. Interfacing synchronous and asynchronous modules within a high-speed pipeline
584 -- 593Chih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram. Improving the efficiency of Monte Carlo power estimation [VLSI]
594 -- 605Han Bin Kim, Dong Sam Ha, Takeshi Takahashi, Takahiro J. Yamaguchi. A new approach to built-in self-testable datapath synthesis based on integer linear programming
606 -- 609F. Caignet, S. D.-B. Dhia, E. Sicard. On the measurement of crosstalk in integrated circuits
610 -- 614Ananta K. Majhi, V. D. Agrawak, James Jacob, Lalit M. Patnaik. Line coverage of path delay faults
614 -- 624Pasquale Corsonello, Stefania Perri, G. Cororullo. Area-time-power tradeoff in cellular arrays VLSI implementations
624 -- 629Antonio G. M. Strollo, E. Napoli, C. Cimino. Analysis of power dissipation in double edge-triggered flip-flops
629 -- 633Chingwei Yeh, Yin-Shuin Kang. Cell-based layout techniques supporting gate-level voltage scaling for low power
633 -- 636Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar. Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters

Volume 8, Issue 4

369 -- 378Jian Li, R. K. Gupta. HDL presynthesis optimizations using a tabular model
379 -- 391Rajamohana Hegde, Naresh R. Shanbhag. Toward achieving energy efficiency in presence of deep submicron noise
392 -- 400Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen. ALTO: an iterative area/performance tradeoff algorithm for LUT-based FPGA technology mapping
401 -- 414Toshiaki Miyazaki, Atsushi Takahara, Takahiro Murooka, Masaru Katayama, Takaki Ichimori, Kazuhiro Shirakawa, Akihiro Tsutsui, K. Fukami. PROTEUS-Lite project: dedicated to developing a telecommunication-oriented FPGA and its applications
415 -- 419Alessandro Bogliolo, Michele Favalli, Maurizio Damiani. Enabling testability of fault-tolerant circuits by means of I::DDQ::-checkable voters
419 -- 424H. T. Nguyen, A. Chattejee. Number-splitting with shift-and-add decomposition for power and hardware optimization in linear DSP synthesis
425 -- 430Gin Yee, Carl Sechen. Clock-delayed domino for dynamic circuit design
431 -- 435Mehrdad Nourani, Christos A. Papachristou. Stability-based algorithms for high-level synthesis of digital ASICs
435 -- 439Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel. Peak power estimation of VLSI circuits: new peak power measures
440 -- 446Oscal T.-C. Chen, Wei-Lung Liu. An FIR processor with programmable dynamic data ranges
446 -- 452Samuel Norman Hamilton, Alex Orailoglu. On-line test for fault-secure fault identification
452 -- 455Eckart Zitzler, Jürgen Teich, S. S. Bhattclcharyya. Evolutionary algorithms for the synthesis of embedded software
456 -- 460J. Pihl. Design automation with the TSPC circuit technique: a high-performance wave digital filter
460 -- 463Dragan Maksimovic, Vojin G. Oklobdzija, Borivoje Nikolic, K. Wayne Current. Clocked CMOS adiabatic logic with integrated single-phase power-clock supply

Volume 8, Issue 3

233 -- 234K. Roy, D. T. Lee. Guest editorial: low-power electronics and design
235 -- 251Azeez J. Bhavnagarwala, Blanca Austin, Keith A. Bowman, James D. Meindl. A minimum total power methodology for projecting limits on CMOS GSI
252 -- 263Abram P. Dancy, Rajeevan Amirtharajah, Anantha P. Chandrakasan. High-efficiency multiple-output DC-DC conversion for low-voltage systems
264 -- 272Hui Zhang, George Varghese, Jan M. Rabaey. Low-swing on-chip signaling techniques: effectiveness and robustness
273 -- 286J. Y. F. Tong, David Nagle, Rob A. Rutenbar. Reducing power by optimizing the necessary precision/range of floating-point arithmetic
287 -- 298Luca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi. Glitch power minimization by selective gate freezing
299 -- 316Luca Benini, Alessandro Bogliolo, Giovanni De Micheli. A survey of design techniques for system-level dynamic power management
317 -- 326Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos, G. Stamoulis. Architectural and compiler techniques for energy reduction in high-performance microprocessors
327 -- 334Sari L. Coumeri, Donald E. Thomas. Memory modeling for system synthesis
335 -- 339Diana Marculescu, Radu Marculescu, Massoud Pedram. Theoretical bounds for switching activity analysis in finite-state machines
339 -- 345B. A. White, Mohamed I. Elmasry. Low-power design of decimation filters for a digital IF receiver
346 -- 355Dinesh Bhatia, James Haralambides. Resource requirements and layouts for field programmable interconnection chips
356 -- 363Kenneth Y. Yun, Kevin W. James, R. H. Fairlie-Cuninghame, Supratik Chakraborty, Rene L. Cruz. A self-timed real-time sorting network

Volume 8, Issue 2

113 -- 128Marcelo Lubaszewski, Salvador Mir, Vladimir Kolarik, C. Nielsen, Bernard Courtois. Design of self-checking fully differential circuits and boards
129 -- 137Thomas M. Conte, Kishore N. Menezes, Sumedh W. Sathaye, Mark C. Toburen. System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design
138 -- 147Scott Hauck, Matthew M. Hosler, Thomas W. Fry. High-performance carry chains for FPGA s
148 -- 159Janardhan H. Satyanarayana, Keshab K. Parhi. Theoretical analysis of word-level switching activity in the presence of glitching and correlation
160 -- 172Leilei Song, Keshab K. Parhi, Ichiro Kuroda, Takao Nishitani. Hardware/software codesign of finite field datapath for low-energy Reed-Solomon codecs
173 -- 183Jin-Hyuk Yang, Byoung-Woon Kim, Sang-Joon Nam, Young-Su Kwon, Dae-Hyun Lee, Jong-Yeol Lee, Chan-Soo Hwang, Yong-Hoon Lee, Seung Ho Hwang, In-Cheol Park, Chong-Min Kyung. MetaCore: an application-specific programmable DSP development system
184 -- 194Johnny Öberg, Anshul Kumar, Ahmed Hemani. Grammar-based hardware synthesis from port-size independent specifications
195 -- 206Yehea I. Ismail, Eby G. Friedman. Effects of inductance on the propagation delay and repeater insertion in VLSI circuits
207 -- 216Frederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man. Formalized three-layer system-level model and reuse methodology for embedded data-dominated applications
217 -- 220David Kinniment, Alexandre Yakovlev, B. Gao. Synchronous and asynchronous A-D conversion
220 -- 223Ronald D. Blanton, John P. Hayes. On the design of fast, easily testable ALU s
223 -- 228Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell. Path delay fault simulation of sequential circuits

Volume 8, Issue 1

1 -- 8Yanbing Li, Miriam Leeser. HML, a novel hardware description language and its translation to VHDL
9 -- 17Farzan Fallah, Stan Y. Liao, Srinivas Devadas. Solving covering problems using LPR-based lower bounds
18 -- 29Subodh Gupta, Farid N. Najm. Power modeling for high-level power estimation
30 -- 39Mohammed A. S. Khalid, Jonathan Rose. A novel and efficient routing architecture for multi-FPGA systems
40 -- 51Darko Kirovski, Miodrag Potkonjak, Lisa M. Guerra. Cut-based functional debugging for programmable systems-on-chip
52 -- 60Jer Min Jou, Pei-Yin Chen, Sheng-Fu Yang. An adaptive fuzzy logic controller: its VLSI architecture and applications
61 -- 73Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng. Estimation for maximum instantaneous current through supply lines for CMOS circuits
74 -- 83Song Chen, Adam Postula. Synthesis of custom interleaved memory systems
84 -- 93Alexander Marquardt, Vaughn Betz, Jonathan Rose. Speed and area tradeoffs in cluster-based FPGA architectures
94 -- 98Uming Ko, Poras T. Balsara. High-performance energy-efficient D-flip-flop circuits
98 -- 103Cristiana Bolchini, R. Montandon, Fabio Salice, Donatella Sciuto. Design of VHDL-based totally self-checking finite-state machine and data-path descriptions
103 -- 107Wei-Chang Tsai, C. B. Shung, Sheng-Jyh Wang. Two systolic architectures for modular multiplication