Journal: IEEE Trans. VLSI Syst.

Volume 31, Issue 9

1259 -- 1268Irith Pomeranz. Storage-Based Logic Built-In Self-Test With Partitioned Deterministic Compressed Tests
1269 -- 1279Aruna Jayasena, Emma Andrews, Prabhat Mishra 0001. TVLA*: Test Vector Leakage Assessment on Hardware Implementations of Asymmetric Cryptography Algorithms
1280 -- 1293Divya Praneetha Ravipati, Victor M. van Santen, Sami Salamin, Hussam Amrouch, Preeti Ranjan Panda. Performance and Energy Studies on NC-FinFET Cache-Based Systems With FN-McPAT
1294 -- 1307Dongwei Zou, Kezhu Song, Zhuo Chen, Chengyang Zhu, Tong Wu, Yuecheng Xu. FPGA-Based Configurable and Highly Flexible PAM4 SerDes Simulation System
1308 -- 1319Jai-Ming Lin, Tsung-Lin Tsai, Tsung-Chun Tsai. Multilevel Fixed-Outline Component Placement and Graph-Based Ball Assignment for System in Package
1320 -- 1329Clara Nieto-Taladriz, Wim Dehaene. Automated In-Situ Monitoring for Variability-Resilient and Energy-Efficient Digital Circuits Demonstrated on a Viterbi Decoder in 22-nm CMOS
1330 -- 1338Yu-Jie Chou, Hsiao-Chin Chen, Yan-Ming Chang. Design and Analysis of Sub-Sampling Phase-Locked Loop for Quantum Computing
1339 -- 1346Nurzhan Zhuldassov, Rassul Bairamkulov, Eby G. Friedman. Thermal Optimization of Hybrid Cryogenic Computing Systems
1347 -- 1357Marcel Khalifa, Barak Hoffer, Orian Leitersdorf, Robert Hanhan, Ben Perach, Leonid Yavits, Shahar Kvatinsky. ClaPIM: Scalable Sequence Classification Using Processing-in-Memory
1358 -- 1367Junyeong Bae, Junseok Oh, Myoung Jin Lee, Young-Woo Lee. Timestamp-Based Secure Shield Architecture for Detecting Invasive Attacks
1368 -- 1376Anil Kali, Samrat L. Sabat, Pramod Kumar Meher. Low-Complexity Distributed Arithmetic-Based Architecture for Inner-Product of Variable Vectors
1377 -- 1388Alexander J. Leigh, Moslem Heidarpur, Mitra Mirhassani. A Resource-Efficient and High-Accuracy CORDIC-Based Digital Implementation of the Hodgkin-Huxley Neuron
1389 -- 1402Loai G. Salem. Analysis and Optimization of Switched-Capacitor Piezoelectric Energy Harvesting Interface Circuits
1403 -- 1412Young Ha Hwang, Jun Wang, Deog Kyoon Jeong, Jun-Eun Park. An Area/Power-Efficient ΔΣ Modulator Based on Dynamic-Boost Inverter for Multichannel Sensor Applications
1413 -- 1424Peng Cao 0002, Guoqing He, Wenjie Ding, Zhanhua Zhang, Kai Wang, Jun Yang 0006. Efficient and Accurate ECO Leakage Optimization Framework With GNN and Bidirectional LSTM
1425 -- 1438Wei-Tse Hung, Yu-Guang Chen, Jhen-Gang Lin, Yun-Wei Yang, Cheng-Hong Tsai, Mango Chia-Tso Chao. DRC Violation Prediction After Global Route Through Convolutional Neural Network
1439 -- 1443Yongqiang Zhang 0006, Siting Liu, Jie Han 0001, Zhendong Lin, Shaowei Wang, Xin Cheng 0001, Guangjun Xie. An Energy-Efficient Binary-Interfaced Stochastic Multiplier Using Parallel Datapaths
1444 -- 1448Andrea Ballo, Alfio Dario Grasso, Salvatore Pennisi, Giovanni Susinni. A 0.3-V 8.5-μ a Bulk-Driven OTA
1449 -- 1453Rongrong She, Hui Qian 0002, Zhongfeng Wang 0001. A New ACD-OMP Accelerator With Clustered Computing Look-Ahead
1454 -- 1458Zhongzhen Tong, Yue Zhao, Jin Zhang, Zhiting Lin, Xiaoyang Lin, Xiulong Wu. In-Memory Transposable Multibit Multiplication Based on Diagonal Symmetry Weight Block

Volume 31, Issue 8

1087 -- 1113Mircea R. Stan. Editorial New Beginnings for IEEE TVLSI
1114 -- 1127Kun-Chih Chen, Yuan-Hao Liao, Cheng-Ting Chen, Leiqi Wang. Adaptive Machine Learning-Based Proactive Thermal Management for NoC Systems
1128 -- 1139Ganesh Gore, Xifan Tang, Pierre-Emmanuel Gaillardon. A Scalable and Area-Efficient Configuration Circuitry for Semi-Custom FPGA Design
1140 -- 1152Yunyou Pu, Wei Li 0038, Mengqi Li, Chuangguo Wang, Fan Chen, Qiaoan Li, Hongtao Xu. A Tri-Mode Reconfigurable Receiver for GNSS/NB-IoT/BLE With 68-dB HR3 and 60-dB IMRR in 28-nm CMOS
1153 -- 1166Jianfei Wang, Chen Yang 0005, Fahong Zhang, Yishuo Meng, Yang Su. TCPM: A Reconfigurable and Efficient Toom-Cook-Based Polynomial Multiplier Over Rings Using a Novel Compressed Postprocessing Algorithm
1167 -- 1177Anu Verma, Khyati Kiyawat, Bishnu Prasad Das, Pramod Kumar Meher. An Efficient Scaling-Free Folded Hyperbolic CORDIC Design Using a Novel Low-Complexity Power-of-2 Taylor Series Approximation
1178 -- 1191Jindong Li, Guobin Shen, Dongcheng Zhao, Qian Zhang, Yi Zeng 0001. FireFly: A High-Throughput Hardware Accelerator for Spiking Neural Networks With Efficient DSP and Memory Optimization
1192 -- 1203Medien Zeghid, Hassan Yousif Ahmed, Abdellah Chehri, Anissa Sghaier. m) on FPGA via Novel Algorithm-Architecture Co-Design
1204 -- 1213Aurélien Alacchi, Edouard Giacomin, Roman Gauchi, Szymon Kulis, Pierre-Emmanuel Gaillardon. Smart-Redundancy With In Memory ECC Checking: Low-Power SEE-Resistant FPGA Architectures
1214 -- 1222Felipe G. A. e Silva, Alan Cadore Pinheiro, Jarbas A. N. Silveira, César A. M. Marcon. A Triple Burst Error Correction Based on Region Selection Code
1223 -- 1233Shrihari Sridharan, Jacob R. Stevens, Kaushik Roy 0001, Anand Raghunathan. X-Former: In-Memory Acceleration of Transformers
1234 -- 1247Zhuojun Chen, Ming Wu, Yifeng Zhou, Renlong Li, Jinzhe Tan, Ding Ding. PUF-CIM: SRAM-Based Compute-In-Memory With Zero Bit-Error-Rate Physical Unclonable Function for Lightweight Secure Edge Computing
1248 -- 1252Yuchen Wei, Shiheng Yang, Yueduo Liu, Rongxin Bao, Zihao Zhu, Jiahui Lin, Zehao Zhang, Yong Chen 0005, Jun Yin 0001, Pui-In Mak, Qiang Li 0021. 2 0.085-μW/MHz Relaxation Oscillator Using Charge-Prestored Asymmetric Swings R-RC Network
1253 -- 1257Alexander Choo Chia Chun, Harikrishnan Ramiah, Kishore Kumar Pakkirisami Churchill, Yong Chen 0005, Saad Mekhilef, Pui-In Mak, Rui Paulo Martins. A High-Performance Dual-Topology CMOS Rectifier With 19.5-dB Power Dynamic Range for RF-Based Hybrid Energy Harvesting

Volume 31, Issue 7

907 -- 916Chandan Kumar Jha 0001, Ankita Nandi, Joycee Mekie. Single Exact Single Approximate Adders and Single Exact Dual Approximate Adders
917 -- 930Marzieh Vaeztourshizi, Massoud Pedram. Efficient Error Estimation for High-Level Design Space Exploration of Approximate Computing Systems
931 -- 944Haoran Geng, Xiaoliang Chen, Ning Zhao, Yuan Du, Li Du. QPA: A Quantization-Aware Piecewise Polynomial Approximation Methodology for Hardware-Efficient Implementations
945 -- 958Steven Colleman, Man Shi, Marian Verhelst. COAC: Cross-Layer Optimization of Accelerator Configurability for Efficient CNN Processing
959 -- 968Bharat Bhushan Upadhyay, Kishor Sarawadekar. VLSI Design of Saturation-Based Image Dehazing Algorithm
969 -- 979Jonathan Cruz 0001, Patanjali SLPSK, Pravin Gaikwad, Swarup Bhunia. TVF: A Metric for Quantifying Vulnerability Against Hardware Trojan Attacks
980 -- 992Shaopu Han, Yanfeng Jiang. RISC-V-Based Evaluation and Strategy Exploration of MRAM Triple-Level Hybrid Cache Systems
993 -- 1003Sahibia Kaur Vohra, Sherin A. Thomas, Shivdeep, Mahendra Sakare, Devarshi Mrinal Das. Full CMOS Circuit for Brain-Inspired Associative Memory With On-Chip Trainable Memristive STDP Synapse
1004 -- 1013Lianxi Liu, Liuzhaoyu Sun, Jiaxi Xu, Xiatian Zhang, ChengZhi Xu, Xufeng Liao. A 0.4-V Startup, Dead-Zone-Free, Monolithic Four-Mode Synchronous Buck-Boost Converter
1014 -- 1025Namik K. Kocaman, Michael M. Green. Asynchronous Sampling-Based Hybrid Equalizer
1026 -- 1038Syed Asrar ul Haq, Abdul Karim Gizzini, Shakti Shrey, Sumit Jagdish Darak, Sneh Saurabh, Marwa Chafii. Deep Neural Network Augmented Wireless Channel Estimation for Preamble-Based OFDM PHY on Zynq System on Chip
1039 -- 1050Xin Chen, Yuxin Bai, Jianpeng Cao, Lei Wang, Xinjie Zhou, Ying Zhang, Weiqiang Liu 0001. Low-Overhead Triple-Node-Upset-Tolerant Latch Design in 28-nm CMOS
1051 -- 1064Jafar Vafaei, Omid Akbari, Muhammad Shafique 0001, Christian Hochberger. X-Rel: Energy-Efficient and Low-Overhead Approximate Reliability Framework for Error-Tolerant Applications Deployed in Critical Systems
1065 -- 1077Rakesh Varma Rena, Raviteja Kammari, Vijay Shankar Pasupureddi. 0.4-1 GHz Subsampling Mixer-First RF Front-End With 50-dB HRR, +10-dBm IB-IIP3 in 65-nm CMOS
1078 -- 1082Tao Li 0005, Yitao Ma, Ko Yoshikawa, Tetsuo Endoh. Hybrid Signed Convolution Module With Unsigned Divide-and-Conquer Multiplier for Energy-Efficient STT-MRAM-Based AI Accelerator
1083 -- 1086Komala Krishna, Nandakumar Nambath. Cascode Cross-Coupled Stage High-Speed Dynamic Comparator in 65 nm CMOS

Volume 31, Issue 6

711 -- 724Tobias Kilian, Daniel Tille, Martin Huch, Markus Hanel, Ulf Schlichtmann. Performance Screening Using Functional Path Ring Oscillators
725 -- 737SatyaJaswanth Badri, Mukesh Saini, Neeraj Goel. An Efficient NVM-Based Architecture for Intermittent Computing Under Energy Constraints
738 -- 748Baoting Li, Hang Wang, Fujie Luo, Xuchong Zhang, Hongbin Sun 0001, Nanning Zheng 0001. ACBN: Approximate Calculated Batch Normalization for Efficient DNN On-Device Training Processor
749 -- 761Wonjae Lee, Kukbyung Kim, Woohyun Ahn, Jinho Kim, Dongsuk Jeon. A Real-Time Object Detection Processor With xnor-Based Variable-Precision Computing Unit
762 -- 775Pedro Sartori Locatelli, Dalton Martini Colombo, Kamal El-Sankary. Time-Domain Multiply-Accumulate Unit
776 -- 788Zhiting Lin, Shaoying Zhang, Qian Jin, Jianping Xia, Yunwei Liu, Kefeng Yu, Jian Zheng, Xiaoming Xu, Xing Fan, Ke Li, Zhongzhen Tong, Xiulong Wu, Wenjuan Lu, Chunyu Peng, Qiang Zhao 0007. A Fully Digital SRAM-Based Four-Layer In-Memory Computing Unit Achieving Multiplication Operations and Results Store
789 -- 801Ramesh Sambangi, Arun Sammit Pandey, Kanchan Manna, Sudipta Mahapatra, Santanu Chattopadhyay. Application Mapping Onto Manycore Processor Architectures Using Active Search Framework
802 -- 811An-Jung Huang, Jo-Hsuan Hung, Tian-Sheuan Chang. Memory Bandwidth Efficient Design for Super-Resolution Accelerators With Structure Adaptive Fusion and Channel-Aware Addressing
812 -- 825Levent Aksoy, Quang-Linh Nguyen, Felipe Almeida, Jaan Raik, Marie-Lise Flottes, Sophie Dupuis, Samuel Pagliarini. Hybrid Protection of Digital FIR Filters
826 -- 839Anirban Sengupta, Rahul Chaurasia, Aditya Anshul. Robust Security of Hardware Accelerators Using Protein Molecular Biometric Signature and Facial Biometric Encryption Key
840 -- 850Eric Hunt-Schroeder, Tian Xia 0005. 12-nm Stable Pre-Amplifier Physical Unclonable Function With Self-Destruct Capability
851 -- 860Woojung Kim, Woojin Hong, Jae-Joon Kim, Myunghee Lee. A 5.4-Gb/s, 0.57-pJ/bit, Single-Loop Referenceless CDR With an Unlimited Bilateral Frequency Detection Scheme
861 -- 873Debao Wei, Hua Feng, Ming Liu, Yu Song, Zhelong Piao, Cong Hu, Liyan Qiao. Edge Word-Line Reliability Problem in 3-D NAND Flash Memory: Observations, Analysis, and Solutions
874 -- 886Malek Souilem, Nawel Zgolli, Telmo Reis Cunha, Wael Dghais, Belgacem Hamdi. Signal and Power Integrity IO Buffer Modeling Under Separate Power and Ground Supply Voltage Variation of the Input and Output Stages
887 -- 891Zhifei Lu, Wei Zhang, He Tang, Xizhu Peng. A Novel Two-Stage Timing Mismatch Calibration Technique for Time-Interleaved ADCs
892 -- 896Yazheng Tu, Pengzhou He, Çetin Kaya Koç, Jiafeng Xie. LEAP: Lightweight and Efficient Accelerator for Sparse Polynomial Multiplication of HQC
897 -- 901Zhuolun Wu, Wei Zhang 0055, Peng Jing, Yanyan Liu. A High-Performance Dual-Context MQ Encoder Architecture Based on Extended Lookup Table
902 -- 905Zhe Yu, Yuhua Liang, Haotian Lan, Li Chen, Jiajun Song, Shida Song, Zhangming Zhu. A Time-Domain Reconfigurable Second-Order Noise Shaping ADC With Single Fan-Out Gated Delay Cells
906 -- 0Tao Li 0005, Yitao Ma, Ko Yoshikawa, Tetsuo Endoh. Corrections to "Hybrid Signed Convolution Module With Unsigned Divide-and-Conquer Multiplier for Energy-Efficient STT-MRAM-Based AI Accelerator"

Volume 31, Issue 5

611 -- 621Eunsol Jeong, Taewhan Kim, Heechun Park. Eliminating Minimum Implant Area Violations With Design Quality Preservation
622 -- 635Kwangmin Kim, Hyoseok Song, Byeongcheol Lee, Byungsub Kim. A Speculative Divide-and-Conquer Optimization Method for Large Analog/Mixed-Signal Circuits: A High-Speed FFE SST Transmitter Example
636 -- 643Giuseppe E. Biccario, Oleg Vitrenko, Roberto Nonis, Stefano D'Amico. A 5-V Switch for Analog Multiplexers With 2.5-V Transistors in 28-nm CMOS Technology
644 -- 657Nakisa Shams, Amin Pourvali Kakhki, Morteza Nabavi, Frederic Nabki. An OOK and Binary FSK Reconfigurable Dual-Band Noncoherent IR-UWB Receiver Supporting Ternary Signaling
658 -- 669Zhijian Hao, Heming Sun, Guoqing Xiang, Peng Zhang 0007, Xiaoyang Zeng, Yibo Fan. A Reconfigurable Multiple Transform Selection Architecture for VVC
670 -- 683Sumit K. Mandal, Shruti Yadav Narayana, Raid Ayoub, Michael Kishinevsky, Ahmed Abousamra, Ümit Y. Ogras. Fast Performance Analysis for NoCs With Weighted Round-Robin Arbitration and Finite Buffers
684 -- 695Yuqi Wang, Shen Zhang, Yifei Li, Jian Chen, Wenfeng Zhao, Yajun Ha. DD Assist and Bitline Leakage Compensation
696 -- 700Yangyang Chen, Suwen Song, Zhongfeng Wang 0001, Jun Lin 0001. An Efficient Massive MIMO Detector Based on Approximate Expectation Propagation
701 -- 705Jihwan Park, Hanwool Jeong. Energy-Efficient Wide-Range Level Shifter With a Logic Error Detection Circuit
706 -- 710Zisong Wang, Peiyi Zhao, Tom Springer, Congyi Zhu, Jaccob Mau, Andrew Wells, Yinshui Xia, Lingli Wang. Low-Power Redundant-Transition-Free TSPC Dual-Edge-Triggering Flip-Flop Using Single-Transistor-Clocked Buffer

Volume 31, Issue 4

411 -- 420Stephan Eggersglüß, Sylwester Milewski, Janusz Rajski, Jerzy Tyszer. A New Static Compaction of Deterministic Test Sets
421 -- 430Irith Pomeranz. Sharing of Compressed Tests Among Logic Blocks
431 -- 441Abdullah Ibn Abbas, Xiangdong Jia, Glenn E. R. Cowan. A Power-Proportional, Dual-Bandwidth, and Constant-Delay Receiver Front-End for Energy-Efficient Dual-Rate Optical Links
442 -- 455Wu Zhou, Yiming Ouyang, Dongyu Xu, Zhengfeng Huang, Huaguo Liang, Xiaoqing Wen. Energy-Efficient Multiple Network-on-Chip Architecture With Bandwidth Expansion
456 -- 469Jie Chen 0042, Igor Loi, Eric Flamand, Giuseppe Tagliavini, Luca Benini, Davide Rossi. Scalable Hierarchical Instruction Cache for Ultralow-Power Processors Clusters
470 -- 483Wenhao Sun, Deng Liu, Zhiwei Zou, Wendi Sun, Song Chen 0001, Yi Kang. Sense: Model-Hardware Codesign for Accelerating Sparse CNNs on Systolic Arrays
484 -- 497Ming Ling, Qingde Lin, Ruiqi Chen, Haimeng Qi, Mengru Lin, Yanxiang Zhu, Jiansheng Wu. Vina-FPGA: A Hardware-Accelerated Molecular Docking Tool With Fixed-Point Quantization and Low-Level Parallelism
498 -- 511Jones William Goebel, Luciano Volcan Agostini, Bruno Zatt, Marcelo Schiavon Porto. A High-Throughput Hardware Design for the AV1 Decoder Intraprediction
512 -- 521Haofan Ding, Haiyan Dai, Xin Hong, Deyan Chen, Junyuan Wu, Jinghu Li, Zhicong Luo. A 10-Gb/s Inductorless Low-Power TIA With a 400-fF Low-Speed Avalanche Photodiode Realized in CMOS Process
522 -- 531Zhiting Lin, Min Chen, Peng Sun, Xiulong Wu, Qiang Zhao, Wenjuan Lu, Chunyu Peng. High Restore Yield NVSRAM Structures With Dual Complementary RRAM Devices for High-Speed Applications
532 -- 542Aika Kamei, Hideharu Amano, Takuya Kojima, Daiki Yokoyama, Kimiyoshi Usami, Keizo Hiraga, Kenta Suzuki, Kazuhiro Bessho. A Variation-Aware MTJ Store Energy Estimation Model for Edge Devices With Verify-and-Retryable Nonvolatile Flip-Flops
543 -- 554Shivani Bathla, Vinita Vasudevan. A Framework for Reliability Analysis of Combinational Circuits Using Approximate Bayesian Inference
555 -- 566Ayesha Siddique, Khaza Anuarul Hoque. Exposing Reliability Degradation and Mitigation in Approximate DNNs Under Permanent Faults
567 -- 577Yang Ge, Tejinder Singh Sandhu, Dmitri V. Truhachev, Kamal El-Sankary. A Single-TSV and Single-DCDL Approach for Skew Compensation of Multi-Dies Clock Synchronization in 3-D-ICs
578 -- 590Youngkwang Lee, Donghyun Han, Sungho Kang. TSV Built-In Self-Repair Architecture for Improving the Yield and Reliability of HBM
591 -- 595Sangwoo Han, Minjung Cho, Gi Lee, Eui-Young Chung. Page Type-Aware Data Migration Technique for Read Disturb Management of NAND Flash Memory
596 -- 600Pengzhou He, Yazheng Tu, Tianyou Bao, Leonel Sousa, Jiafeng Xie. COPMA: Compact and Optimized Polynomial Multiplier Accelerator for High-Performance Implementation of LWR-Based PQC
601 -- 605Irith Pomeranz. Test Data Compression for Transparent-Scan Sequences
606 -- 610Kexu Chen, Di Li 0003, Dongdong Chen, ChangChun Chai. An Improved MOS Self-Biased Ring Amplifier and Modified Auto-Zeroing Scheme

Volume 31, Issue 3

287 -- 295Yousef Safari, Boris Vaisband. A Robust Integrated Power Delivery Methodology for 3-D ICs
296 -- 309Arjun Chaudhuri, Sanmitra Banerjee, Jinwoo Kim, Sung Kyu Lim, Krishnendu Chakrabarty. Built-In Self-Test of High-Density and Realistic ILV Layouts in Monolithic 3-D ICs
310 -- 319Chih-Chyau Yang, Tian-Sheuan Chang. A 1.6-mW Sparse Deep Learning Accelerator for Speech Separation
320 -- 330Rushik Parmar, Meenali Janveja, Jan Pidanic, Gaurav Trivedi. Design of DNN-Based Low-Power VLSI Architecture to Classify Atrial Fibrillation for Wearable Devices
331 -- 342Honghao Zheng, Kang Jun Bai, Yang Yi 0002. Enabling a New Methodology of Neural Coding: Multiplexing Temporal Encoding in Neuromorphic Computing
343 -- 354Yung-Chuan Su, Shi-Yu Huang. A Process-Adaptive Cell-Based Cyclic Time-to-Digital Converter Using One-Way Varactor Cells
355 -- 368Ananda Y. R., Nehal Raj, Gaurav Trivedi. A MOS-DTMOS Implementation of Floating Memristor Emulator for High-Frequency Applications
369 -- 381Nakisa Shams, Frederic Nabki. Blocker-Tolerant Inductor-Less Harmonic Selection Wideband Receiver Front-End for 5G Applications
382 -- 395Stefan Brennsteiner, Tughrul Arslan, John S. Thompson, Andrew C. McCormick. LAMANet: A Real-Time, Machine Learning-Enhanced Approximate Message Passing Detector for Massive MIMO
396 -- 400Peng Jing, Wei Zhang 0055, Long Yan, Yanyan Liu. VLSI Design of a High-Performance Multicontext MQ Arithmetic Coder
401 -- 405Dionysios Filippas, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos. Streaming Dilated Convolution Engine
406 -- 410Song-Nien Tang. Area-Efficient Parallel Multiplication Units for CNN Accelerators With Output Channel Parallelization

Volume 31, Issue 2

163 -- 176Taehak Kim, Jaehoon Jeong, Seungmin Woo, Jeonggyu Yang, Hyunwoo Kim, Ahyeon Nam, Changdong Lee, Jinmin Seo, Minji Kim 0008, Siwon Ryu, Yoonju Oh, Taigon Song. NS3K: A 3-nm Nanosheet FET Standard Cell Library Development and its Impact
177 -- 187Eun-Bin Park, Taigon Song. Complementary FET (CFET) Standard Cell Design for Low Parasitics and Its Impact on VLSI Prediction at 3-nm Process
188 -- 198Yunbo Huang, Yong Chen 0005, Bo Zhao, Pui-In Mak, Rui Paulo Martins. RMSJitter, -258.7-dB FOM, and -75.17-dBc Reference Spur
199 -- 209Yushen Fu, Chengyu Huang, Limeng Sun, Weiguang Meng, Xueqing Li, Huazhong Yang. A 6.0-GS/s Time-Interleaved DAC Using an Asymmetric Current-Tree Summation Network and Differential Clock Timing Calibration
210 -- 218Jun Wang 0034, Yuhuan Luo, Wenting Guo, Feng Wu 0005, Xiuqin Chu. Fast Estimation of a Statistical Eye Diagram for Nonlinear High-Speed Links Based on the Minimum Required Order of the Multiple Edge Response Method
219 -- 232Yifan Guo, Zhijun Wang, Qinzhi Hong, Hanqing Luo, Xin Qiu, Liping Liang. A 60-Mode High-Throughput Parallel-Processing FFT Processor for 5G/4G Applications
233 -- 242Yujia Wang, JinCheng Zhang, Yong Chen 0005, Junyan Ren, Shunli Ma. A 4.5-W, 18.5-24.5-GHz GaN Power Amplifier Employing Chebyshev Matching Technique
243 -- 252Qirui Ren, Qiang Huo, Zhisheng Chen, Qi Gao, Yiming Wang, Yiming Yang, Hao Wu, Xiangqu Fu, Xiaoxin Xu, Qing Luo, Jianfeng Gao, Chengying Chen, Xiaojin Zhao, Dengyun Lei, Xinghua Wang, Feng Zhang 0014, Yong Chen 0005, Pui-In Mak. A Security-Enhanced, Charge-Pump-Free, ISO14443-A-/ISO10373-6-Compliant RFID Tag With 16.2-μW Embedded RRAM and Reconfigurable Strong PUF
253 -- 266Hongbing Tan, Gan Tong, Libo Huang, Liquan Xiao, Nong Xiao. Multiple-Mode-Supporting Floating-Point FMA Unit for Deep Learning Processors
267 -- 275Irith Pomeranz. Path Unselection for Path Delay Fault Test Generation
276 -- 285Irith Pomeranz. Diagnostic Test Point Insertion and Test Compaction
286 -- 0Jahyun Koo 0001, Jae-Yoon Sim. Corrections to "Low-Noise Distributed RC Oscillator"

Volume 31, Issue 12

1879 -- 1881Mircea R. Stan. Editorial Rolling Out the IEEE TVLSI EDICS
1882 -- 1895Wenbo Guan, XiaoYan Tang, Hongliang Lu, YuMing Zhang, YiMen Zhang. Thermal-Aware Fixed-Outline 3-D IC Floorplanning: An End-to-End Learning-Based Approach
1896 -- 1904Sankatali Venkateswarlu, Subrat Mishra, Herman Oprins, Bjorn Vermeersch, Moritz Brunion, Jun-Han Han, Mircea R. Stan, Dwaipayan Biswas, Pieter Weckx, Francky Catthoor. Impact of 3-D Integration on Thermal Performance of RISC-V MemPool Multicore SOC
1905 -- 1917Wenbo Guan, XiaoYan Tang, Hongliang Lu, YuMing Zhang, YiMen Zhang. ATT-TA: A Cooperative Multiagent Deep Reinforcement Learning Approach for TSV Assignment in 3-D ICs
1918 -- 1930Carlos Manuel Domínguez-Matas, Antonio J. Ginés, Aránzazu Otín, Valentin Gutierrez, Gildas Léger, Eduardo J. Peralías. Behavioral Model for High-Speed SAR ADCs With On-Chip References
1931 -- 1938Heng Zhang, Ben He, Xuan Guo, DanYu Wu, Xinyu Liu. A 1-GS/s 12-bit Single-Channel Pipelined ADC in 28-nm CMOS With Input-Split Fully Differential Ring Amplifier
1939 -- 1949Pai-Hsiang Hsu, Yueh-Ru Lee, Chia-Hung Chen, Chung-Chih Hung. A Low-Noise Area-Efficient Column-Parallel ADC With an Input Triplet for a 120-dB High Dynamic Range CMOS Image Sensor
1950 -- 1959Mahyar Safiallah, Ahmad Reza Danesh, Haoran Pu, Payam Heydari. A Current-Adjusting Auto-Zeroing Technique for DC-Offset and Flicker-Noise Cancellation
1960 -- 1969Cheng-Yen Lee, Sunil P. Khatri. A Digital Low Dropout (LDO) Voltage Regulator Using Pseudoflash Transistors
1970 -- 1979Ranran Zhou, Haozhe Wang, Peng Wang, Peter Poechmueller, Yong Wang 0006. A 55-nm Three-Stage Operational Transconductance Amplifier With Single Cascode Miller Compensation for Large Capacitive Loads
1980 -- 1993Wenzhe Zhao, Guoming Yang, Tian Xia 0008, Fei Chen, Nanning Zheng 0001, Pengju Ren. HIPU: A Hybrid Intelligent Processing Unit With Fine-Grained ISA for Real-Time Deep Neural Network Inference Applications
1994 -- 2007Pai-Yu Tan, Cheng-Wen Wu. A 40-nm 1.89-pJ/SOP Scalable Convolutional Spiking Neural Network Learning Core With On-Chip Spatiotemporal Back-Propagation
2008 -- 2015Meenali Janveja, Ashwani Kumar Sharma, Abhyuday Bhardwaj, Jan Pidanic, Gaurav Trivedi. An Optimized Low-Power VLSI Architecture for ECG/VCG Data Compression for IoHT Wearable Device Application
2016 -- 2029Ngo-Doanh Nguyen, Akram Ben Ahmed, Abderazek Ben Abdallah, Khanh N. Dang. Power-Aware Neuromorphic Architecture With Partial Voltage Scaling 3-D Stacking Synaptic Memory
2030 -- 2043Yicong Zhang, Mingyu Wang, Yangzhan Mai, Zhiyi Yu. TensorCache: Reconstructing Memory Architecture With SRAM-Based In-Cache Computing for Efficient Tensor Computations in GPGPUs
2044 -- 2052Hongyang Hu, Xiwei Wang, Zi Wang, Haiyang Zhou, Danian Dong, Jinshan Yue, Wan Pang, Xiaoxin Xu, Chunmeng Dou. A 40-nm SONOS Digital CIM Using Simplified LUT Multiplier and Continuous Sample-Hold Sense Amplifier for AI Edge Inference
2053 -- 2060Ashvinikumar Dongre, Bipul Boro, Gaurav Trivedi. ADC-Less Reprogrammable RRAM Array Architecture for In-Memory Computing
2061 -- 2074Dongyu Xu, Yiming Ouyang, Wu Zhou, Zhengfeng Huang, Huaguo Liang, Xiaoqing Wen. RMC_NoC: A Reliable On-Chip Network Architecture With Reconfigurable Multifunctional Channel
2075 -- 2088Zeinab Seifoori, Behzad Omidi, Hossein Asadi 0001. PERA: Power-Efficient Routing Architecture for SRAM-Based FPGAs in Dark Silicon Era
2089 -- 2101Jiuxin Gong, Zhaoming Lu, Luhan Wang, Xinghe Chu, Xiangming Wen. A Reusable and Efficient Architecture for QC-LDPC Encoder With Less Expansion Factors
2102 -- 2111Nan Zhang, Jinghan Feng, Peixin Zhang, Fengkui Gong. Parallel Doubly Fed Symbol Timing Recovery Algorithm and FPGA Implementation for Burst Broadband Satellite Access
2112 -- 2125Panagiota Papavramidou, Michael Nicolaidis. Reducing Power Dissipation in Memory Repair for High Fault Rates
2126 -- 2136Xinghua Xue, Cheng Liu 0008, Ying Wang 0001, Bing Yang, Tao Luo 0014, Lei Zhang 0008, Huawei Li 0001, Xiaowei Li 0001. Soft Error Reliability Analysis of Vision Transformers
2137 -- 2141Sungju Ryu, Youngtaek Oh, Jae-Joon Kim. Binaryware: A High-Performance Digital Hardware Accelerator for Binary Neural Networks
2142 -- 2146Yuyang Li, Yejoong Kim, Inhee Lee. 2, 4.7-μW Convolutional Neural Network Layer Accelerator for Miniature Systems
2147 -- 2151Xin Zhao, Dengquan Li, Feida Wang, Yi Shen 0007, Shubin Liu, Ruixue Ding, Zhangming Zhu. An 8-bit 1.5-GS/s Voltage-Time Hybrid Two-Step ADC With Cross-Coupled Linearized VTC
2152 -- 2156Seongun Bae, Minseob Lee, Sang-Min Yoo, Jae-Yoon Sim. A Temperature Compensated Ring Oscillator With LC-Based Period Error Detection

Volume 31, Issue 11

1639 -- 1652Wenbo Guan, XiaoYan Tang, Hongliang Lu, YuMing Zhang, YiMen Zhang. A Novel Thermal-Aware Floorplanning and TSV Assignment With Game Theory for Fixed-Outline 3-D ICs
1653 -- 1664Wei Xiong, Gang Dong, Changle Zhi, Yang Wang, Zhangming Zhu, Yintang Yang. Miniaturization Strategy for Directional Couplers Based on Through-Silicon Via Insertion and Neuro-Transfer Function Modeling Method
1665 -- 1674Hamed Aminzadeh, Andrea Ballo, Alfio Dario Grasso, Mohammad Mahdi Valinezhad, Mohammad Jamali. L
1675 -- 1685R. Malmir, M. B. Ghaznavi-Ghoushchi. Design and Analysis of an Ultralow-Voltage Complementary Fold-Interleaved Multiple-Tail Current Mode Logic
1686 -- 1699Apostolos Stefanidis, Ioanna Zoumpoulidou, Dionysios Filippas, Giorgos Dimitrakopoulos, Georgios Ch. Sirakoulis. Synthesis of Approximate Parallel-Prefix Adders
1700 -- 1712Rui Xiao, Yewei Zhang, Bo Wang 0020, Yanfeng Xu, Jicong Fan, Haibin Shen, Kejie Huang. A Low-Power In-Memory Multiplication and Accumulation Array With Modified Radix-4 Input and Canonical Signed Digit Weights
1713 -- 1726Bruno Sá, Luca Valente, José Martins, Davide Rossi, Luca Benini, Sandro Pinto 0001. CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space Exploration
1727 -- 1739Jianwang Zhai, Yici Cai. Microarchitecture Design Space Exploration via Pareto-Driven Active Learning
1740 -- 1753Johannes Bund, Matthias Függer, Moti Medina. PALS: Distributed Gradient Clocking on Chip
1754 -- 1762Irith Pomeranz. Dummy Faulty Units for Reduced Fail Data Volume From Logic Faults
1763 -- 1773Xinghua Xue, Cheng Liu 0008, Bo Liu 0018, Haitong Huang, Ying Wang 0001, Tao Luo 0014, Lei Zhang, Huawei Li 0001, Xiaowei Li 0001. Exploring Winograd Convolution for Cost-Effective Neural Network Fault Tolerance
1774 -- 1787Trio Adiono, Rhesa Muhammad Ramadhan, Nana Sutisna, Infall Syafalni, Rahmat Mulyawan, Chang Hong Lin. Fast and Scalable Multicore YOLOv3-Tiny Accelerator Using Input Stationary Systolic Architecture
1788 -- 1801Haikuo Shao, Jinming Lu, Meiqi Wang, Zhongfeng Wang 0001. An Efficient Training Accelerator for Transformers With Hardware-Algorithm Co-Optimization
1802 -- 1815Vaibhav Venugopal Rao, Kyle Juretus, Ioannis Savidis. Hidden Costs of Analog Deobfuscation Attacks
1816 -- 1825Prachi Kashikar, Olivier Sentieys, Sharad Sinha. Lossless Neural Network Model Compression Through Exponent Sharing
1826 -- 1838Jianwei Xue, Rendong Ying, Faquan Chen, Peilin Liu. SFANC: Scalable and Flexible Architecture for Neuromorphic Computing
1839 -- 1851Xiangrong Huang, Haikun Jia, Shengnan Dong, Wei Deng 0001, Zhihua Wang 0001, Baoyong Chi. A 24-30-GHz Four-Element Phased Array Transceiver With Low Insertion Loss Compact T/R Switch and Bidirectional Phase Shifter for 5G Communication
1852 -- 1864Shao-I Chu, Syuan-An Ke, Sheng-Jung Liu, Yan-Wei Lin. An Efficient Hard-Detection GRAND Decoder for Systematic Linear Block Codes
1865 -- 1869Jianjun Luo 0003, Hailuan Liu, Ying He, César Vargas Rosales, Lingyan Fan. High-Density NVMe SSD With DRAM-Less eRAID Architecture
1870 -- 1873Yi Shen 0007, Junyan Hao, Shubin Liu, Zeshuai An, Dengquan Li, Ruixue Ding, Zhangming Zhu. An 8-bit 1.5-GS/s Two-Step SAR ADC With Embedded Interstage Gain
1874 -- 1878Jordi Fornt, Pau Fontova-Musté, Martí Caro, Jaume Abella 0001, Francesc Moll, Josep Altet, Christoph Studer. An Energy-Efficient GeMM-Based Convolution Accelerator With On-the-Fly im2col

Volume 31, Issue 10

1459 -- 1471Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Christian Pilato, Ganesh Gore, Xifan Tang, Scott Temple, Pierre-Emmanuel Gaillardon, Ramesh Karri. Not All Fabrics Are Created Equal: Exploring eFPGA Parameters for IP Redaction
1472 -- 1485Chen Yang 0005, Junfeng Wu, Siwei Xiang, Liyan Liang, Li Geng. A High-Throughput and Flexible Architecture Based on a Reconfigurable Mixed-Radix FFT With Twiddle Factor Compression and Conflict-Free Access
1486 -- 1496Jie Xiao 0003, Yujian Yang, Haixia Long, Rongzhen Qin, Jungang Lou. Estimating Redundancy-Reliability of CNNs Based on Strip-Median Attributes
1497 -- 1508Mark Keran, Anestis Dounavis. An Analytic RLC Model for Coupled Interconnects Which Uses a Numerical Inverse Laplace Transform
1509 -- 1522Madhan Thirumoorthi, Alexander J. Leigh, Moslem Heidarpur, Mohammed A. S. Khalid, Mitra Mirhassani. Novel Formulations of M-Term Overlap-Free Karatsuba Binary Polynomial Multipliers and Their Hardware Implementations
1523 -- 1536Zahra Azad, Guowei Yang, Rashmi Agrawal 0001, Daniel Petrisko, Michael Bedford Taylor, Ajay Joshi. RISE: RISC-V SoC for En/Decryption Acceleration on the Edge for Homomorphic Encryption
1537 -- 1550Yishuo Meng, Chen Yang 0005, Siwei Xiang, Jianfei Wang, Kuizhi Mei, Li Geng. An Efficient CNN Accelerator Achieving High PE Utilization Using a Dense-/Sparse-Aware Redundancy Reduction Method and Data-Index Decoupling Workflow
1551 -- 1564Pengzhou He, Yazheng Tu, Jiafeng Xie, H. S. Jacinto. KINA: Karatsuba Initiated Novel Accelerator for Ring-Binary-LWE (RBLWE)-Based Post-Quantum Cryptography
1565 -- 1577Bayartulga Ishdorj, Taehui Na. Spin-Transfer-Torque Magnetic-Tunnel-Junction-Based Low-Power Nonvolatile Flip-Flop Designs in the Subthreshold Voltage Region
1578 -- 1591Siqing Fu, Tiejun Li, Chunyuan Zhang, Hanqing Li, Sheng Ma, Jianmin Zhang, Ruiyi Zhang, Lizhou Wu. RHS-TRNG: A Resilient High-Speed True Random Number Generator Based on STT-MTJ Device
1592 -- 1602Wantong Li, Madison Manley, James Read, Ankit Kaul, Muhannad S. Bakir, Shimeng Yu. H3DAtten: Heterogeneous 3-D Integrated Hybrid Analog and Digital Compute-in-Memory Accelerator for Vision Transformer Self-Attention
1603 -- 1613Gauthaman Murali, Aditya Iyer, Lingjun Zhu, Jianming Tong, Francisco Muñoz-Martínez, Srivatsa Rangachar Srinivasa, Tanay Karnik, Tushar Krishna, Sung Kyu Lim. On Continuing DNN Accelerator Architecture Scaling Using Tightly Coupled Compute-on-Memory 3-D ICs
1614 -- 1618Lin Sun, Zhenwei Zhang, Lili Lang, Tong Kang, Wei Xiong, Yu Liu, Wei Zhong, Yemin Dong. An Adaptive and Universal Timing Mismatch Estimation Method for TIADCs
1619 -- 1623Kishore Kumar Pakkirisami Churchill, Harikrishnan Ramiah, Alexander Choo Chia Chun, Gabriel Chong, Yong Chen 0005, Pui-In Mak, Rui Paulo Martins. A Reconfigurable CMOS Stack Rectifier With 22.8-dB Dynamic Range Achieving 47.91% Peak PCE for IoT/WSN Application
1624 -- 1628Meysam Akbari, Safwan Mawlood Hussein, Yasir Hashim, Fabian Khateb, Kea-Tiong Tang. A Rail-to-Rail Transconductance Amplifier Based on Current Generator Circuits
1629 -- 1633Hee Sung Lee, Tae Hwan Jang, Joon Hyung Kim, Chul Soon Park. m-Boosting Technique
1634 -- 1638Elamana Marakkadath Dalin, S. M. Rezaul Hasan. A Low Phase-Lag Self-Powered SECE Interface Circuit for Pressure-Type Piezoelectric Energy-Harvesting Compatible With COTS Pressure Sensors

Volume 31, Issue 1

1 -- 3Massimo Alioto. Opening of the 2023 Editorial Year - This Coda as Prelude of Next TVLSI Cycle With Sustained Growth
4 -- 16Ke Chen 0018, Yue Gao, Haroon Waris, Weiqiang Liu, Fabrizio Lombardi. Approximate Softmax Functions for Energy-Efficient Deep Neural Networks
17 -- 28Morgana Macedo Azevedo da Rosa, Guilherme Paim, Patrícia Ücker Leleu da Costa, Eduardo Antonio Cesar da Costa, Rafael Iankowski Soares, Sergio Bampi. AxPPA: Approximate Parallel Prefix Adders
29 -- 42Henry Lopez Davila, Tsung-Han Wu, Shyh-Jye Jou, Sau-Gee Chen, Pei-Yun Tsai. Low Routing Complexity Multiframe Pipelined LDPC Decoder Based on a Novel Pseudo Marginalized Min-Sum Algorithm for High Throughput Applications
43 -- 54Syed Mohsin Abbas, Marwan Jalaleddine, Warren J. Gross. List-GRAND: A Practical Way to Achieve Maximum Likelihood Decoding
55 -- 64Kleber Stangherlin, Zhuanhao Wu, Hiren D. Patel, Manoj Sachdev. Enhancing Strong PUF Security With Nonmonotonic Response Quantization
65 -- 78Tasnuva Farheen, Sourav Roy, Shahin Tajik, Domenic Forte. A Twofold Clock and Voltage-Based Detection Method for Laser Logic State Imaging Attack
79 -- 89Rohith Rajesh, Sumit Jagdish Darak, Akshay Jain, Shivam Chandhok, Animesh Sharma. Hardware-Software Co-Design of Statistical and Deep-Learning Frameworks for Wideband Sensing on Zynq System on Chip
90 -- 103Hongyan Li, Hang Lu, Haoxuan Wang, Shengji Deng, Xiaowei Li 0001. BitXpro: Regularity-Aware Hardware Runtime Pruning for Deep Neural Networks
104 -- 113Aswini K. Samantaray, Pranose J. Edavoor, Amol D. Rahulkar. Power-Efficient VLSI Architecture of a New Class of Dyadic Gabor Wavelets for Medical Image Retrieval
114 -- 127Shubham Jain, Hsinyu Tsai, Ching-Tzu Chen, Ramachandran Muralidhar, Irem Boybat, Martin M. Frank, Stanislaw Wozniak, Milos Stanisavljevic, Praneet Adusumilli, Pritish Narayanan, Kohji Hosokawa, Masatoshi Ishii, Arvind Kumar, Vijay Narayanan, Geoffrey W. Burr. A Heterogeneous and Programmable Compute-In-Memory Accelerator Architecture for Analog-AI Using Dense 2-D Mesh
128 -- 141Fujun Bai, Song Wang, Xuerong Jia, Yi-Xin Guo, Bing Yu, Hang Wang, Cong Lai, Qiwei Ren, Hongbin Sun 0001. A Low-Cost Reduced-Latency DRAM Architecture With Dynamic Reconfiguration of Row Decoder
142 -- 146Zhen Gao 0005, Jinchang Shi, Qiang Liu 0011, Anees Ullah, Pedro Reviriego. Reliability Evaluation and Fault Tolerance Design for FPGA Implemented Reed Solomon (RS) Erasure Decoders
147 -- 151Meysam Akbari, Safwan Mawlood Hussein, Yasir Hashim, Fabian Khateb, Tomasz Kulej, Kea-Tiong Tang. Implementation of a Multipath Fully Differential OTA in 0.18-μm CMOS Process
152 -- 156Lei Qiu 0002, Tianyi Meng, Bingbing Yao, Zihao Du, Xiaohua Yuan. A High-Speed Low-Noise Comparator With Auxiliary-Inverter-Based Common Mode-Self-Regulation for Low-Supply-Voltage SAR ADCs
157 -- 161Alvaro Cintas Canto, Mehran Mozaffari Kermani, Reza Azarderakhsh. Reliable Architectures for Finite Field Multipliers Using Cyclic Codes on FPGA Utilized in Classic and Post-Quantum Cryptography