Journal: IEEE Trans. VLSI Syst.

Volume 11, Issue 6

965 -- 975Xun Liu, Marios C. Papaefthymiou. Design of a 20-mb/s 256-state Viterbi decoder
976 -- 996Mohammad M. Mansour, Naresh R. Shanbhag. High-throughput LDPC decoders
997 -- 1005Woo-Suk Ko, Joon-Seok Kim, Young-Cheol Park, Tai-Ho Koh, Dae Hee Youn. An efficient DMT modem for the G.LITE ADSL transceiver
1006 -- 1018Joohee Kim, Marios C. Papaefthymiou. Block-based multiperiod dynamic memory design for low data-retention power
1019 -- 1030Daler N. Rakhmatov, Sarma B. K. Vrudhula, Deborah A. Wallach. A model for battery lifetime analysis for organizing applications on a pocket computer
1031 -- 1043Ashok K. Murugavel, N. Ranganathan. A game theoretic approach for power optimization during behavioral synthesis
1044 -- 1057Amit Sinha, Nathan Ickes, Anantha P. Chandrakasan. Instruction level and operating system profiling for energy exposed software
1058 -- 1067C. H.-I. Kim, Hendrawan Soeleman, Kaushik Roy. Ultra-low-power DLMS adaptive filter for hearing aid applications
1068 -- 1079Qinwei Xu, Pinaki Mazumder. Equivalent-circuit interconnect modeling based on the fifth-order differential quadrature methods
1080 -- 1093Volkan Kursun, Eby G. Friedman. Domino logic with variable threshold voltage keeper
1094 -- 1105Shrirang K. Karandikar, Sachin S. Sapatnekar. Technology mapping for SOI domino logic incorporating solutions for the parasitic bipolar effect
1106 -- 1113Rajiv V. Joshi, Ching-Te Chuang, S. K. H. Fung, Fari Assaderaghi, Melanie Sherony, I. Yang, Ghavam V. Shahidi. PD/SOI SRAM performance in presence of gate-to-body tunneling current
1114 -- 1119T. Felicijan, Stephen B. Furber. An asynchronous ternary logic signaling system
1120 -- 1135Saurabh N. Adya, Igor L. Markov. Fixed-outline floorplanning: enabling hierarchical design
1136 -- 1143Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi. Scheduling battery usage in mobile systems
1143 -- 1146M. A. Azadpour, T. S. Kalkur. A clock interconnect extractor for multigigahertz frequencies incorporating inductance effect
1146 -- 1152Li Ding 0002, Pinaki Mazumder. Simultaneous switching noise analysis using application specific device modeling
1153 -- 1158O. Milter, Avinoam Kolodny. Crosstalk noise reduction in synthesized digital logic circuits

Volume 11, Issue 5

753 -- 754Vivek De, Luca Benini. Guest editorial
755 -- 761Hyunsik Im, T. Inukai, H. Gomyo, T. Hiramoto, T. Sakurai. VTCMOS characteristics and its optimum conditions predicted by a compact analytical model
762 -- 777Joong-Seok Moon, William C. Athas, Sigfrid D. Soli, Jeffrey T. Draper, Peter A. Beerel. Voltage-pulse driven harmonic resonant rail drivers for low-power applications
778 -- 788Victor V. Zyuban. Optimization of scannable latches for low energy
789 -- 800Dmitry V. Ponomarev, Gurhan Kucuk, Oguz Ergin, Kanad Ghose, Peter M. Kogge. Energy-efficient issue queue design
801 -- 811Baruch Solomon, Avi Mendelson, Ronny Ronen, Doron Orenstein, Yoav Almog. Micro-operation cache: a power aware frontend for variable instruction length ISA
812 -- 826Johan A. Pouwelse, Koen Langendoen, Henk J. Sips. Application-directed voltage scaling
827 -- 834Mahesh Mamidipaka, Daniel S. Hirschberg, Nikil D. Dutt. Adaptive low-power address encoding techniques using self-organizing lists
835 -- 838M. A. I. Mostafa, Sherif H. K. Embabi, Mostafa Elmala. A 60-dB 246-MHz CMOS variable gain amplifier for subsampling GSM receivers
839 -- 852Mandeep Singh, Israel Koren. Fault-sensitivity analysis and reliability enhancement of analog-to-digital converters
853 -- 862Sungbae Hwang, Jacob A. Abraham. Test data compression and test time reduction using an embedded microprocessor
863 -- 870Ali Keshavarzi, Kaushik Roy, Charles F. Hawkins, Vivek De. Multiple-parameter CMOS IC testing with increased sensitivity for I::DDQ::
871 -- 878Mohammad Maymandi-Nejad, Manoj Sachdev. A digitally programmable delay element: design and analysis
879 -- 887Ki-Wook Kim, Seong-Ook Jung, Taewhan Kim, Prashant Saxena, C. L. Liu, S.-M. S. Kang. Coupling delay optimization by temporal decorrelation using dual threshold voltage technique
888 -- 899T. Chen, S. Naffziger. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation
900 -- 908Yehea I. Ismail. Improved model-order reduction by using spacial information in moments
909 -- 920Lucian Codrescu, S. Nugent, James D. Meindl, D. Scott Wills. Modeling technology impact on cluster microprocessor performance
921 -- 927Ashok K. Murugavel, N. Ranganathan. Petri net modeling of gate and interconnect delays for power estimation
928 -- 938Jaewon Seo, Taewhan Kim, Preeti Ranjan Panda. Memory allocation and mapping in high-level synthesis - an integrated approach
939 -- 943Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo. A high-speed energy-efficient 64-bit reconfigurable binary adder
943 -- 951Tiehan Lv, Jörg Henkel, Haris Lekatsas, Wayne Wolf. A dictionary-based en/decoding scheme for low-power data buses
951 -- 954Atsushi Sakai, Takashi Yamada, Yoshifumi Matsushita, Hiroto Yasuura. Reduction of coupling effects by optimizing the 3-D configuration of the routing grid
955 -- 960Sandeep Koranne. Design of reconfigurable access wrappers for embedded core based SoC test

Volume 11, Issue 4

525 -- 537Subodh Gupta, Farid N. Najm. Energy and peak-current per-cycle estimation at RTL
538 -- 557Anand Raghunathan, Sujit Dey, Niraj K. Jha. High-level macro-modeling and estimation techniques for switching activity and power consumption
558 -- 567Sanjukta Bhanja, N. Ranganathan. Switching activity estimation of VLSI circuits using Bayesian networks
568 -- 580Yen-Jen Chang, Shanq-Jang Ruan, Feipei Lai. Design and analysis of low-power cache using two-level filter scheme
581 -- 589Chua-Chin Wang, Ya-Hsin Hsueh, Ying-Pei Chen. An area-saving decoder structure for ROMs
590 -- 600Byung-Do Yang, Lee-Sup Kim. A low-power charge-recycling ROM architecture
601 -- 615George Hadjiyiannis, Srinivas Devadas. Techniques for accurate performance evaluation in architecture exploration
616 -- 626Y. Elboim, Avinoam Kolodny, Ran Ginosar. A clock-tuning circuit for system-on-chip
627 -- 650Mohammad M. Mansour, Naresh R. Shanbhag. VLSI architectures for SISO-APP decoders
651 -- 658Shih-Chang Hsia. Parallel VLSI design for a real-time video-impulse noise-reduction processor
659 -- 678Gaye Lightbody, Roger Woods, Richard Walke. Design of a parameterizable silicon intellectual property core for QR-based RLS filtering
679 -- 686Jai-Ming Lin, Yao-Wen Chang, Shih-Ping Lin. Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme
687 -- 690Hong Sik Kim, YongJoon Kim, Sungho Kang. Test-decompression mechanism using a variable-length multiple-polynomial LFSR
691 -- 700Ting-Yuan Wang, Charlie Chung-Ping Chen. Thermal-ADI - a linear-time chip-level dynamic thermal-simulation algorithm based on alternating-direction-implicit (ADI) method
701 -- 715Navid Azizi, Farid N. Najm, Andreas Moshovos. Low-leakage asymmetric-cell SRAM
716 -- 730Saibal Mukhopadhyay, Cassondra Neau, R. T. Cakici, Amit Agarwal, Chris H. Kim, Kaushik Roy. Gate leakage reduction for scaled devices using transistor stacking
731 -- 737Peter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau. RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions
737 -- 740Chua-Chin Wang, Po-Ming Lee, Jun-Jie Wang, Chenn-Jung Huang. Design of a cycle-efficient 64-b/32-b integer divisor using a table-sharing algorithm
741 -- 744Sujit T. Zachariah, Sreejit Chakravarty. Algorithm to extract two-node bridges
744 -- 748T. Thorp, D. Liu, P. Trivedi. Analysis of blocking dynamic circuits

Volume 11, Issue 3

301 -- 302Yiannos Manoli. Special section on the 2001 International Conference on Computer Design (ICCD)
303 -- 313Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger. Static energy reduction techniques for microprocessor caches
314 -- 324Lu Peng, Jih-Kwon Peir, Qianrong Ma, Konrad Lai. Address-free memory access based on program syntax correlation of loads and stores
325 -- 335John Patrick McGregor, Ruby B. Lee. Architectural techniques for accelerating subword permutations with repetitions
336 -- 344Yu Zheng, Kenneth L. Shepard. On-chip oscilloscopes for noninvasive time-domain measurement of waveforms in digital integrated circuits
345 -- 353Jin Yang, Carl-Johan H. Seger. Introduction to generalized symbolic trajectory evaluation
354 -- 363Amit Singh, Arindam Mukherjee, Luca Macchiarulo, Malgorzata Marek-Sadowska. PITIA: an FPGA for throughput-intensive applications
364 -- 375Chun-Gi Lyuh, Taewhan Kim. High-level synthesis for low power based on network flow method
376 -- 385Frederik Vermeulen, Francky Catthoor, Lode Nachtergaele, Diederik Verkest, Hugo De Man. Power-efficient flexible processor architecture for embedded applications
386 -- 405Abderrahim Doumar, Hideo Ito. Detecting, diagnosing, and tolerating faults in SRAM-based field programmable gate arrays: a survey
406 -- 417Rizwan Bashirullah, Wentai Liu, Ralph K. Cavin III. Current-mode signaling in deep submicrometer global interconnects
418 -- 433Oscal T.-C. Chen, Sandy Wang, Yi-Wen Wu. Minimization of switching activities of partial products for designing low-power multipliers
434 -- 445Lei Wang, Naresh R. Shanbhag. Low-power MIMO signal processing
446 -- 450Kostas Masselos, Panagiotis Merakos, S. Theoharis, Thanos Stouraitis, Constantinos E. Goutis. Power efficient data path synthesis of sum-of-products computations
451 -- 460Yu-Liang Wu, Chak-Chung Cheung, David Ihsin Cheng, Hongbing Fan. Further improve circuit partitioning using GBAW logic perturbation techniques
461 -- 473Lauren Hui Chen, Malgorzata Marek-Sadowska, Forrest Brewer. Buffer delay change in the presence of power and ground noise
474 -- 484Jin-Hua Hong, Cheng-Wen Wu. Cellular-array modular multiplier for fast RSA public-key cryptosystem based on modified Booth s algorithm
485 -- 498Xuejun Liang, Jack S. N. Jean. Mapping of generalized template matching onto reconfigurable computers
499 -- 510J. L. Nunez, S. Jones. Gbit/s lossless data compression hardware
511 -- 514Xiaoyu Song, William N. N. Hung, Alan Mishchenko, Malgorzata Chrzanowska-Jeske, Andrew A. Kennings, Alan J. Coppola. Board-level multiterminal net assignment for the partial cross-bar architecture
514 -- 522Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman. Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor

Volume 11, Issue 2

157 -- 166David Blaauw, Supamas Sirichotiyakul, Chanhee Oh. Driver modeling and alignment for worst-case delay noise
167 -- 179K. Chakrabarty. A synthesis-for-transparency approach for hierarchical and system-on-a-chip test
180 -- 193Payam Heydari, Massoud Pedram. Ground bounce in digital VLSI circuits
194 -- 207Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou. A true single-phase energy-recovery multiplier
208 -- 217Surin Kittitornkun, Yu Hen Hu. Mapping deep nested do-loop DSP algorithms to large scale FPGA array structures
218 -- 223Kyung-suc Nah, Byeong-ha Park. A 50-MHz dB-linear programmable-gain amplifier with 98-dB dynamic range and 2-dB gain steps for 3 V power supply
224 -- 243Dinesh Pamunuwa, Li-Rong Zheng, Hannu Tenhunen. Maximizing throughput over parallel wire structures in the deep submicrometer regime
244 -- 253Jongsun Park, Khurram Muhammad, Kaushik Roy. High-performance FIR filter design based on sharing multiplication
254 -- 269Lei Wang, Naresh R. Shanbhag. Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise
270 -- 276Ali Manzak, Chaitali Chakrabarti. Variable voltage task scheduling algorithms for minimizing energy/power
276 -- 280R. Hossain, F. Viglione, M. Cavalli. Designing fast on-chip interconnects for deep submicrometer technologies
280 -- 283Uwe Meyer-Bäse, Thanos Stouraitis. New power-of-2 RNS scaling scheme for cell-based IC design
283 -- 287Abdel Ejnioui, N. Ranganathan. Routing on field-programmable switch matrices
288 -- 294Hanho Lee. High-speed VLSI architecture for parallel Reed-Solomon decoder
295 -- 296D. Harris, S. Naffziger. Correction to statistical clock skew modeling with data delay variations

Volume 11, Issue 1

1 -- 2Phillip Christie. Guest editorial: System-level interconnect prediction
3 -- 14Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Igor L. Markov, Michael Oliver, Dirk Stroobandt, Dennis Sylvester. Improved a priori interconnect predictions and technology extrapolation in the GTX system
15 -- 23Raymond A. Wildman, Joshua I. Kramer, Daniel S. Weile, Phillip Christie. Multi-objective optimization of interconnect geometry
24 -- 34J. Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout. A comparison of various terminal-gate relationships for interconnect prediction in VLSI circuits
35 -- 43Dirk Stroobandt. A priori wire length distribution models with multiterminal nets
44 -- 54Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif. Wiring requirement and three-dimensional integration technology for field programmable gate arrays
55 -- 59Phillip Christie, José Pineda de Gyvez. Prelayout interconnect yield prediction
60 -- 63M. Hutton, K. Adibsamii, A. Leaver. Adaptive delay estimation for partitioning-driven PLD placement
64 -- 70Chulwoo Kim, Ki-Wook Kim, Sung-Mo Kang. Energy-efficient skewed static logic with dual Vt: design and synthesis
71 -- 78Abdel Ejnioui, N. Ranganathan. Multiterminal net routing for partial crossbar-based multi-FPGA systems
79 -- 89Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu, Sung-Mo Kang. Noise-aware interconnect power optimization in domino logic synthesis
90 -- 104M. P. Leong, Philip Heng Wai Leong. A variable-radix digit-serial design methodology and its application to the discrete cosine transform
105 -- 120Arindam Mukherjee, Malgorzata Marek-Sadowska. Wave steering to integrate logic and physical syntheses
121 -- 128Michael Nicolaidis. Carry checking/parity prediction adders and ALUs
129 -- 140Ken S. Stevens, Ran Ginosar, Shai Rotem. Relative timing [asynchronous design]
141 -- 149T. J. Thorp, G. S. Yee, C. M. Sechen. Design and synthesis of dynamic circuits
150 -- 153Kyung-Saeng Kim, Kwyro Lee. Low-power and area-efficient FIR filter implementation suitable for multiple taps