Journal: IEEE Trans. VLSI Syst.

Volume 10, Issue 6

683 -- 684Yehea I. Ismail, Byron Krauter. Guest editorial: special issue on on-chip inductance in high-speed integrated circuits
685 -- 694Yehea I. Ismail. On-chip inductance cons and pros
695 -- 711Gerard V. Kopcsay, Byron Krauter, David Widiger, Alina Deutsch, Barry J. Rubin, H. H. Smith. A comprehensive 2-D inductance modeling approach for VLSI interconnects: frequency-dependent extraction and compact circuit model synthesis
712 -- 729Michael W. Beattie, Lawrence T. Pileggi. On-chip induction modeling: basics and advanced methods
730 -- 745Kaushik Gala, David Blaauw, Vladimir Zolotov, P. M. Vaidya, A. Joshi. Inductance model and analysis methodology for high-speed on-chip interconnect
746 -- 761Haitian Hu, Sachin S. Sapatnekar. Efficient inductance extraction using circuit-aware techniques
762 -- 776Andrey V. Mezhiba, Eby G. Friedman. Inductive properties of high-performance power distribution grids
777 -- 788C. Svensson. Electrical interconnects revitalized
789 -- 798Yehia Massoud, Steve S. Majors, Jamil Kawa, Tareq Bustami, Don MacMillen, Jacob K. White. Managing on-chip inductive effects
799 -- 805Yu Cao, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu. Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion
806 -- 823Massimo Alioto, Gaetano Palumbo. Analysis and comparison on full adder block in submicron technology
824 -- 835Stelian Alupoaei, Srinivas Katkoori. Net-based force-directed macrocell placement for wirelength optimization
836 -- 843Chunhong Chen, Jiang Zhao, Majid Ahmadi. Probability-based approach to rectilinear Steiner tree problems
844 -- 855D. E. Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin. A clock power model to evaluate impact of architectural and technology optimizations
856 -- 863Tony Givargis, Frank Vahid, Jörg Henkel. Instruction-based system-level power evaluation of system-on-a-chip peripheral cores
864 -- 875Ramesh Karri, Kaijie Wu. Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique
876 -- 885Kamal S. Khouri, Niraj K. Jha. Leakage power analysis and reduction during behavioral synthesis
886 -- 901Jai-Ming Lin, Hsin-Lung Chen, Yao-Wen Chang. Arbitrarily shaped rectilinear module placement using the transitive closure graph representation
902 -- 912Zhongfeng Wang, Zhipei Chi, Keshab K. Parhi. Area-efficient high-speed decoding schemes for turbo decoders
913 -- 918Wai Chung, T. Lo, M. Sachdev. A comparative analysis of low-power low-voltage dual-edge-triggered flip-flops
919 -- 923Farzan Fallah, Pranav Ashar, Srinivas Devadas. Functional vector generation for sequential HDL models under an observability-based code coverage metric
924 -- 929Jer Min Jou, Shiann-Rong Kuang, Yeu-Horng Shiau, Ren-Der Chen. Design of a dynamic pipelined architecture for fuzzy color correction
929 -- 934Rung-Bin Lin, Chi-Ming Tsai. Theoretical analysis of bus-invert coding
935 -- 942Peter Oehler, Christoph Grimm, Klaus Waldschmidt. A methodology for system-level synthesis of mixed-signal applications
942 -- 949Shanq-Jang Ruan, Edwin Naroska, Yen-Jen Chang, Feipei Lai, Uwe Schwiegelshohn. ENPCO: an entropy-based partition-codec algorithm to reduce power for bipartition-codec architecture in pipelined circuits

Volume 10, Issue 5

521 -- 531Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino. Minimizing memory access energy in embedded systems by selective instruction compression
532 -- 541Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang. Noise constrained transistor sizing and power optimization for dual Vs::t:: domino logic
542 -- 549Gang Qu, Miodrag Potkonjak. Techniques for energy-efficient communication pipeline design
550 -- 559Philip Heng Wai Leong, Ivan K. H. Leung. A microcoded elliptic curve processor using FPGA technology
560 -- 565José C. Monteiro, Arlindo L. Oliveira. Implicit FSM decomposition applied to low-power design
566 -- 581Arkadiy Morgenshtein, Alexander Fish, Israel A. Wagner. Gate-diffusion input (GDI): a power-efficient method for digital combinatorial circuits
582 -- 594A. V. Mule, Elias N. Glytsis, Thomas K. Gaylord, James D. Meindl. Electrical and optical clock distribution networks for gigascale microprocessors
595 -- 600M. Olivieri. Theoretical system-level limits of power dissipation reduction under a performance constraint in VLSI microprocessor design
601 -- 607Massoud Pedram, Qing Wu. Battery-powered digital CMOS design
608 -- 613Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo. VLSI circuits for low-power high-speed asynchronous addition
614 -- 622Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon. Low-power data forwarding for VLIW embedded architectures
623 -- 636R. Tessier, S. Jana. Incremental compilation for parallel logic verification systems
637 -- 649Liming Xiu, Zhihong You. A flying-adder architecture of frequency and phase synthesis with scalability
650 -- 667Chantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Bengt Svantesson, Ahmed Hemani, F. Wolf. Dynamic memory management methodology applied to embedded telecom network systems
668 -- 672Nur A. Touba. Circular BIST with state skipping
672 -- 678Dohyung Kim, Chan-Eun Rhee, Soonhoi Ha. Combined data-driven and event-driven scheduling technique for fast distributed cosimulation

Volume 10, Issue 4

377 -- 378Fadi J. Kurdahi. Guest editorial special issue on system synthesis
379 -- 389Dirk Ziegenbein, Kai Richter, Rolf Ernst, Lothar Thiele, Jürgen Teich. SPI - a system model for heterogeneously specified embedded systems
390 -- 398Catherine H. Gebotys. A network flow approach to memory bandwidth utilization in embedded DSP core processors
399 -- 415Juanjo Noguera, Rosa M. Badia. HW/SW codesign techniques for dynamically reconfigurable architectures
416 -- 422Tony Givargis, Frank Vahid, Jörg Henkel. System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip
423 -- 428Hyunuk Jung, Kangnyoung Lee, Soonhoi Ha. Efficient hardware controller synthesis for synchronous dataflow graph in system level design
429 -- 434Forrest Brewer, Steve Haynal. Symbolic NFA scheduling of a RISC microprocessor
435 -- 453Oscal T.-C. Chen, R. R.-B. Sheen, S. Wang. A low-power adder operating on effective dynamic data ranges
454 -- 468Jörg Henkel, Yanbing Li. Avalanche: an environment for design space exploration and optimization of low-power embedded systems
469 -- 476Alexandre Solomatnikov, Dinesh Somasekhar, Naran Sirisantana, Kaushik Roy. Skewed CMOS: noise-tolerant high-performance low-power static circuit family
477 -- 486L.-D. Van. A new 2-D systolic digital filter architecture without global broadcast
487 -- 493Kevin T. Tang, Eby G. Friedman. Simultaneous switching noise in on-chip CMOS power distribution networks
494 -- 507Zhong-Fang Jin, J.-J. Laurin, Yvon Savaria. A practical approach to model long MIS interconnects in VLSI circuits
508 -- 511Sumant Ramprasad, Ibrahim N. Hajj, Farid N. Najm. A technique for Improving dual-output domino logic
512 -- 515Wen-Ben Jone, Der-Cheng Huang, S. C. Wu, Kuen-Jong Lee. An efficient BIST method for distributed small buffers
515 -- 518Kostas Masselos, Francky Catthoor, Constantinos E. Goutis, Hugo De Man. A systematic methodology for the application of data transfer and storage optimizing code transformations for power consumption and execution time reduction in realizations of multimedia algorithms on programmable processors

Volume 10, Issue 3

193 -- 208Karam S. Chatha, Ranga Vemuri. Hardware-software partitioning and pipelined scheduling of transformative applications
209 -- 220Katherine Compton, Zhiyuan Li, James Cooley, Stephen Knol, Scott Hauck. Configuration relocation and defragmentation for run-time reconfigurable computing
221 -- 229Yonghee Im, Kaushik Roy. O:::2:::ABA: a novel high-performance predictable circuit architecture for the deep submicron era
230 -- 239Anoop Iyer, Diana Marculescu. Microarchitecture-level power management
240 -- 252Byoung-Woon Kim, Chong-Min Kyung. Exploiting intellectual properties with imprecise design costs for system-on-chip synthesis
253 -- 266Marcello Lajolo, Anand Raghunathan, Sujit Dey, Luciano Lavagno. Cosimulation-based power estimation for system-on-chip design
267 -- 278Jin-Fu Li, Cheng-Wen Wu. Efficient FFT network testing and diagnosis schemes
279 -- 285Guido Masera, M. Mazza, Gianluca Piccinini, F. Viglione, Maurizio Zamboni. Architectural strategies for low-power VLSI turbo decoders
286 -- 291Yehia Massoud, Jacob K. White. Simulation and modeling of the effect of substrate conductivity on coupling inductance and circuit crosstalk
292 -- 300Khurram Muhammad, Kaushik Roy. Reduced computational redundancy implementation of DSP algorithms using computation sharing vector scaling
301 -- 308João Navarro Jr., Wilhelmus A. M. Van Noije. Extended TSPC structures with double input/output data throughput for gigahertz CMOS circuit design
309 -- 318Jatuchai Pangjun, Sachin S. Sapatnekar. Low-power clock distribution using multiple voltages and reduced swings
319 -- 326Mondira Deb Pant, Pankaj Pant, D. Scott Wills. On-chip decoupling capacitor optimization using architectural level prediction
327 -- 340Rolando Ramírez Ortiz, John P. Knight. Compatible cell connections for multifamily dynamic logic gates
341 -- 350Paul-Peter Sotiriadis, Anantha P. Chandrakasan. A bus energy model for deep submicron technology
351 -- 362Liqiong Wei, Rongtian Zhang, Kaushik Roy, Zhanping Chen, David B. Janes. Vertically integrated SOI circuits for low-power and high-performance applications
363 -- 373Jianwen Zhu, Daniel D. Gajski. An ultra-fast instruction set simulator
374 -- 374Fatih Kocan, Daniel G. Saab. Correction to ATPG for combinational circuits on configurable hardware

Volume 10, Issue 2

69 -- 70Enrico Macii, Ingrid Verbauwhede. Guest editorial: low-power electronics and design
71 -- 78Mohab Anis, Mohamed W. Allam, Mohamed I. Elmasry. Energy-efficient noise-tolerant dynamic styles for scaled-down CMOS and MTCMOS technologies
79 -- 90Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David Blaauw. Duet: an accurate leakage estimation and optimization tool for dual-V::t:: circuits
91 -- 95Fatih Hamzaoglu, Yibin Ye, Ali Keshavarzi, Kevin Zhang, Siva Narendra, Shekhar Borkar, Mircea R. Stan, Vivek De. Analysis of dual-V::T:: SRAM cells with full-swing single-ended bit line sensing for on-chip cache
96 -- 105Luca Benini, Luca Macchiarulo, Alberto Macii, Massimo Poncino. Layout-driven memory synthesis for embedded systems-on-chip
106 -- 109Eike Schmidt, Gerd von Cölln, Lars Kruse, Frans Theeuwen, Wolfgang Nebel. Memory power models for multilevel power estimation and optimization
109 -- 118Wei-Chung Cheng, Massoud Pedram. Power-optimal encoding for a DRAM address bus
119 -- 134Yung-Hsiang Lu, Luca Benini, Giovanni De Micheli. Power-aware operating systems for interactive systems
135 -- 145Amit Sinha, Alice Wang, Anantha Chandrakasan. Energy scalable system design
146 -- 154Naehyuck Chang, Kwanho Kim, Hyung Gyu Lee. Cycle-accurate energy measurement and characterization with a case study of the ARM7TDMI [microprocessors]
155 -- 162Erik Lauwers, Georges G. E. Gielen. Power estimation methods for analog circuits for architectural exploration of integrated systems
163 -- 168Chih-Wen Lu, Chung-Len Lee. A low-power high-speed class-AB buffer amplifier for flat-panel-display application
168 -- 174Carl James Debono, Franco Maloberti, Joseph Micallef. On the design of low-voltage, low-power CMOS analog multipliers for RF applications
175 -- 176Dirk Stroobandt. Guest editorial - system-level interconnect prediction
177 -- 189Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu, Dirk Stroobandt. Toward better wireload models in the presence of obstacles

Volume 10, Issue 1

1 -- 5Mark C. Johnson, Dinesh Somasekhar, Lih-Yih Chiou, Kaushik Roy. Leakage control with efficient use of transistor stacks in single threshold CMOS
6 -- 14A. Manzak, C. Chakrabarti. A low power scheduling scheme with resources operating at multiple voltages
15 -- 19You-Sung Chang, Chong-Min Kyung. Conforming block inversion for low power memory
20 -- 29Ahmed M. Shams, T. K. Darwish, Magdy A. Bayoumi. Performance analysis of low-power 1-bit CMOS full adder cells
30 -- 43Mehrdad Nourani, Christos A. Papachristou. False path exclusion in delay analysis of RTL structures
44 -- 54Ing-Jer Huang, Ping-Huei Xie. Application of instruction analysis/scheduling techniques to resource allocation of superscalar processors
55 -- 58Ashok K. Murugavel, N. Ranganathan, Ramamurti Chandramouli, Srinath Chavali. Least-square estimation of average power in digital CMOS circuits
58 -- 62G. N. Hoyer, Gin Yee, Carl Sechen. Locally clocked pipelines and dynamic logic