Abstract is missing.
- A very-high output impedance current mirror for very-low voltage biomedical analog circuitsLouis-Francois Tanguay, Mohamad Sawan, Yvon Savaria. [doi]
- An ANT network bi-directional wireless homecare systemYa-Hsin Hsueh, Kuan-Wei Chen. [doi]
- New architectures for low-power delta-sigma analog-to-digital converterGabor C. Temes. 1-6 [doi]
- Body channel communication for low energy BSN/BANHoi-Jun Yoo, Namjun Cho. 7-11 [doi]
- High-performance data converters: Trends, process technologies and design challengesFranco Maloberti. 12-16 [doi]
- A low offset rail-to-rail 12b 2MS/s 0.18μm CMOS cyclic ADCYoung-Ju Kim, Hee-Cheol Choi, Pil-Seon Yoo, Dong-Suk Lee, Joong-Ho Choi, Seung-Hoon Lee. 17-20 [doi]
- A 1.8V 12-bit 230-MS/s pipeline ADC in 0.18μm CMOS technologyThomas Liechti, Armin Tajalli, Omer Can Akgun, Zeynep Toprak Deniz, Yusuf Leblebici. 21-24 [doi]
- A flexible 12-bit self-calibrated quad-core current-steering DACGeorgi I. Radulov, Patrick J. Quinn, Hans Hegt, Arthur H. M. van Roermund. 25-28 [doi]
- Reconfigurable baseband processing platform for communication systemsXiaofang Zhou, Shuang Zhao, Wenqing Lu, Chao Lu, Gerald E. Sobelman. 29-32 [doi]
- Enhanced channel selection using digital low-IF in Weaver receiver architectureMisteltein Pak-Kee Chan, Oliver Chiu-sing Choy, Kong-Pang Pun, Cheong-fat Chan, Alex Ka-Nang Leung. 33-36 [doi]
- Mapping algorithms of MIMO to a Multi-Pipeline Reconfigurable SystemLi Li 0003, Yong-Sheng Yin, Minglun Gao. 37-40 [doi]
- Scalable interpolation architecture for soft-decision Reed-Solomon decodingJiangli Zhu, Xinmiao Zhang. 41-44 [doi]
- Flexible LDPC decoder architecture for high-throughput applicationsSangmin Kim, Gerald E. Sobelman, Hanho Lee. 45-48 [doi]
- Cumulative electrostatic discharge induced degradation of power-rail ESD clamp device in high-voltage CMOS/DMOS technologiesChung-Ti Hsu, Shu-Chuan Chen, Yen-Hsien Chen, Yu-Ti Su, Ming-Fang Lai, Che-Hung Chen, Po-An Chen. 49-52 [doi]
- UVeriESD: An ESD verification tool for SoC designKelvin K. Hsueh, Sin-Hao Ke, Jeffrey Lee, Elyse Rosenbaum. 53-56 [doi]
- Active ESD protection design against cross-power-domain ESD stresses in CMOS integrated circuitsShih-Hung Chen, Chih-Ting Yeh. 57-60 [doi]
- Measurement on snapback holding voltage of high-voltage LDMOS for latch-up considerationWen-Yi Chen, Ming-Dou Ker, Yeh-Jen Huang, Yeh-Ning Jou, Geeng-Lih Lin. 61-64 [doi]
- A vision sensor with background subtraction processor using mixed analog-digital architectureJun Umezawa, Hitoshi Kitazawa. 65-68 [doi]
- PCA/ICA-based SVM for fall recognition using MEMS motion sensing dataGuangyi Shi, Yuexian Zou, Yufeng Jin, Wen J. Li. 69-72 [doi]
- A low-power RF front-end of passive UHF RFID transpondersChangming Ma, Xingjun Wu, Chun Zhang, Zhihua Wang. 73-76 [doi]
- PSoC implementing vehicle auxiliary vacuum brake system with Kalman filterChia-Chang Tong, Yau-Jeng Tsai, Shih-Fan Li, Jhih-Yu Lin, Ming-Han Ho, Yu-Hao Lin. 77-81 [doi]
- A simple and ultra-low power capacitive pressure micro-sensor sensing system designYa-Hsin Hsueh, Jeng-Hsien Lin, Meng-Kai Su. 82-85 [doi]
- Q-band bandpass filter designs in heterodyne receiver for radio astronomyYo-Shen Lin, Yu-Shu Hsieh, Yuh-Jing Hwang, Chau-Ching Chiong. 86-89 [doi]
- Multi-spurious suppression for microstrip dual-mode bandpass filter using triple U-shaped defected ground structureChon Chio Leong, Sio-Weng Ting, Kam-Weng Tam. 90-92 [doi]
- Compact microstrip square-loop dual-mode bandpass filter design with wideband responseTing-Shan Lv, Si-Weng Fok, Pedro Cheong, Kam-Weng Tam. 93-96 [doi]
- Experimental investigation of the operation characteristics of 3-phase 3-wire active power filterYang Han, Wen-Xiang Song, Lin Xu, Gang Yao, Lidan Zhou, Muhammad Mansoor Khan, Chen Chen. 97-100 [doi]
- Study on assessment model of event-type power disturbancesXiangning Xiao, Shun Tao. 101-104 [doi]
- Research on harmonic penetration between different voltage levelsTengfei Wang, Yongqiang Zhu, Yonghai Xu, Xiangning Xiao. 105-108 [doi]
- A decoupling-controlled STATCOM for power quality improvement of impact loadsChunpeng Zhang, Qirong Jiang, Luyuan Tong. 109-112 [doi]
- A 0.6-V 1.8-μW automatic gain control circuit for digital hearing aidYu-Cheng Su, Shuenn-Yuh Lee, An-Po Lin. 113-116 [doi]
- A low-power bio-sensor interface with wide measurement rangeYu Chai, Pak Kwong Chan. 117-120 [doi]
- An efficiency-enhanced CMOS voltage regulator module for bio-electronic implantsCihun-Siyong Alex Gong, Kai-Wen Yao, Yen-Huan Huang, Muh-Tian Shiue. 121-124 [doi]
- Patient classification based on pre-hospital heart rate variabilityPavitra Padmanabhan, Zhiping Lin, Guang-Bin Huang, Marcus Eng Hock Ong. 125-128 [doi]
- Retinal vessel segmentation using histogram matchingGiri Babu Kande, Satya Savithri Tirumala, P. Venkata Subbaiah. 129-132 [doi]
- 1.5-V 10-Ms/s 8-bit Pipeline ADC in 0.13 μm CMOS using metal fringe capacitorFuding Ge, Scot Kellar, Brent Thomas. 133-136 [doi]
- A low power 12-bit 40MS/s pipelined ADC with digital calibrationHuayu Jia, Guican Chen, Hong Zhang. 137-140 [doi]
- A two-stage pipelined passive charge-sharing SAR ADCAlireza Imani, Mehrdad Sharif Bakhtiar. 141-144 [doi]
- A 12-bit 150-MSample/s current-steering DACShupeng Zhong, Nick Tan. 145-148 [doi]
- A new wideband, high-linear passive Sample and Hold structure suitable for high-speed, high-resolution ADCsKhosrov Dabbagh-Sadeghipour. 149-152 [doi]
- A 1V low noise amplifier for WiMAX / UWB applicationsHsiu-Chun Lai, Zhi-Ming Lin. 153-155 [doi]
- Signal-to-noise ratio improvement of common-gate CMOS LNA for Ultra-Wide-BandTeppei Hayashi, Hiroki Sato, Akira Hyogo, Keitaro Sekine. 156-159 [doi]
- A 3-5GHz amplitude and spectrum tunable impulse radio UWB transmitterLingli Xia, Weinan Li, Yumei Huang, Zhiliang Hong. 160-163 [doi]
- A 480 Mbps MB-OFDM-based UWB baseband inner transceiverWen-Hua Wu, Yuan-Wei Wu, Hsi-Pin Ma. 164-167 [doi]
- n-1)Su-Hon Lin, Ming-Hwa Sheu, Chao-Hsiang Wang, Yuan-Ching Kuo. 168-171 [doi]
- Design of square generator with small look-up tableWei-Chang Tsai, Ming-Der Shieh, Wen-Ching Lin, Chin-Long Wey. 172-175 [doi]
- High-speed and low-power serial accumulator for serial/parallel multiplierManas Ranjan Meher, Ching-Chuen Jong, Chip-Hong Chang. 176-179 [doi]
- Low-latency VLSI architecture of a 3-input floating-point adderAndre Guntoro, Manfred Glesner. 180-183 [doi]
- Fast point operation architecture for Elliptic Curve CryptographyQingwei Li, Zhongfeng Wang, Xingcheng Liu. 184-188 [doi]
- A new family of robust sequential partial update least mean M-estimate adaptive filtering algorithmsYi Zhou, Shing-Chow Chan, Ka-Leung Ho. 189-192 [doi]
- Mean square error analysis of the PG algorithm for adaptive IIR notch filter with constrained poles and zeros using power spectral density methodRachu Punchalard, Jeerasuda Koseeyaporn, Paramote Wardkein. 193-196 [doi]
- A new noise-constrained normalized least mean squares adaptive filtering algorithmShing-Chow Chan, Zhiguo Zhang, Yi Zhou, Yong Hu. 197-200 [doi]
- A variable parameter improved proportionate normalized LMS algorithmLigang Liu, Masahiro Fukumoto, Shiyong Zhang. 201-204 [doi]
- On the convergence analysis of the transform domain normalized LMS and related M-estimate algorithmsShing-Chow Chan, Yi Zhou. 205-208 [doi]
- Fractional Fourier transform based auditory feature for language identificationWei-Qiang Zhang, Liang He, Tao Hou, Jia Liu. 209-212 [doi]
- Modified quadratic discrimination function for non-normal distribution and its application in speech emotion recognitionYan Zhao, Li Zhao, Cairong Zou, Yinhua Yu, Chengwei Huang, Qingyun Wang. 213-216 [doi]
- Gesture recognition based on 3D accelerometer for cell phones interactionZhenyu He, Lianwen Jin, Li-Xin Zhen, Jiancheng Huang. 217-220 [doi]
- Channel compensation technology in differential GSV-SVM speaker verification systemLiang He, Wei-Qiang Zhang, Yuxiang Shan, Jia Liu. 221-224 [doi]
- Cluster validation for subspace clustering on high dimensional dataLifei Chen, Qingshan Jiang, Shengrui Wang. 225-228 [doi]
- Nonlinear decoupled control of back-to-back voltage source converterGan-gui Yan, Gui-qiang Jiang, Mu Gang, Jun-hui Li, Tao Chen, Ya-feng Huang, Jian Wang. 229-232 [doi]
- Evaluation of disaster level caused by power quality problemsYongqiang Zhu, Yingying Liu, Yonghai Xu, Xiangning Xiao. 233-235 [doi]
- An optimal control strategy for power capacity based on railway power static conditionerYingdong Wei, Qirong Jiang, Xiujuan Zhang. 236-239 [doi]
- Dynamic secondary arc analysis and simulation research under different line modelLixia Zhou, Zhongdong Yin, Xiangning Xiao, Yueting Tan. 240-245 [doi]
- Power saving mobile monitoring system on architecturesChiung-Hsing Chen. 246-249 [doi]
- Automatic ECG interpretation via morphological feature extraction and SVM inference netsWai Kei Lei, Ming-Chui Dong, Jun Shi, Bin Bin Fu. 254-258 [doi]
- Modeling malware propagation in complex networks based on cellular automataYurong Song, Guoping Jiang, Yiran Gu. 259-263 [doi]
- A mobile intelligent sphygmogram analyzerBin Bin Fu, Ming-Chui Dong, Bing Nan Li, Wai Kei Lei. 264-267 [doi]
- SAR ADC algorithm with redundancyTomohiko Ogawa, Haruo Kobayashi, Masao Hotta, Yosuke Takahashi, Hao San, Nobukazu Takai. 268-271 [doi]
- A low kick back noise latched comparator for high speed folding and interpolating ADCYu Qi, Guohe Zhang, ZhiBiao Shao, Bo Wang. 272-275 [doi]
- A pseudo-differential comparator-based pipelined ADC with common mode feedforward techniqueLi Ding, Sio Chan, Kim-Fai Wong, Sai-Weng Sin, Seng-Pan U, Rui Paulo da Silva Martins. 276-279 [doi]
- An ultra-low-power sensor interface built around a reconfigurable incremental sigma-delta modulator for sensor networks employing electromagnetic backscatterNicolas Gay, Qiuyun Fu, Ralf Hildebrandt, Christian Bansleben, Michael Heiss, Wolf-Joachim Fischer. 280-283 [doi]
- An ultra low-power Successive Approximation ADC using an offset-biased auto-zero comparatorYulia Susanti, Pak Kwong Chan, Vincent Keng Sian Ong. 284-287 [doi]
- A 0.13μm CMOS UWB receiver front-end using passive mixerWeinan Li, Lingli Xia, Yumei Huang, Zhiliang Hong. 288-291 [doi]
- A 18.9dB conversion gain folded mixer for WiMAX systemZhong-Cheng Su, Zhi-Ming Lin. 292-295 [doi]
- New architecture for envelope-tracking power amplifier for base stationAkihiro Kanbe, Masato Kaneta, Fuminori Yui, Haruo Kobayashi, Nobukazu Takai, Tatsuhiro Shimura, Hitoshi Hirata, Kentarou Yamagishi. 296-299 [doi]
- 60 GHz 130-nm CMOS second harmonic power amplifiersJohan Wernehag, Henrik Sjöland. 300-303 [doi]
- A concurrent dual-band mixer with on-wafer balun for multi-standard applicationsRuey-Lue Wang, Yan-Kuin Su, Chien-Hsuan Liu, San-Chi Hung, Pi-Jung Yang, Yi-Shu Lin. 304-307 [doi]
- A novel pipeline architecture for H.264/AVC CABAC decoderYuan-Teng Chang. 308-311 [doi]
- A high throughput in-loop de-blocking filter supporting H.264/AVC BP/MP/HP video codingCheng-An Chien, Hsiu-Cheng Chang, Jiun-In Guo. 312-315 [doi]
- Area and throughput trade-offs in design of arithmetic encoder for JPEG2000Baofeng Li, Yong Dou, Yuanwu Lei. 316-319 [doi]
- A new VLSI 2-D diagonal-symmetry filter architecture designPei-yu Chen, Lan-Da Van, Hari C. Reddy, Chin-Teng Lin. 320-323 [doi]
- Improving the design of parallel-pipeline cyclic decoders towards fault-secure versionsHoussein Jaber, Fabrice Monteiro, Abbas Dandache. 324-327 [doi]
- A personal identification method based on finger's outlineKaset Sirisantisamrid, Kitti Tirasesth, Shuhei Iwanaga, Takenobu Matsuura. 328-331 [doi]
- Super-resolution image by curve fitting in the threshold decomposition domainTsz-Chun Ho, Bing Zeng. 332-335 [doi]
- A new iterative reconstruction scheme for signal reconstructionHonglin Huang, Anamitra Makur. 336-339 [doi]
- Short response Hilbert transform for edge detectionSoo-Chang Pei, Jian-Jiun Ding, Jiun-De Huang, Guo-Cyuan Guo. 340-343 [doi]
- Energy efficient lossless image compression with prediction-based transformSavithra Eratne, Sebastian Puthenpurayil, Eugene John. 344-347 [doi]
- Multi-Vth FinFET sequential circuits with independent-gate bias and work-function engineering for reduced power consumptionSherif A. Tawfik, Volkan Kursun. 348-351 [doi]
- A new statistical timing analyzer propagating delay and slew distributions simultaneouslyShingo Takahashi, Shuji Tsukiyama. 352-355 [doi]
- A design method for skew tolerant latch designYuichi Nakamura. 356-359 [doi]
- Nanoholes microscopyTamer A. Elkhatib, Khaled N. Salama. 360-363 [doi]
- Stochastic estimation trip frequency of sensitive equipment due to voltage sagXianyong Xiao, Xuna Liu, Honggeng Yang. 364-367 [doi]
- Support vector machines based on Lyapunov exponents in power load forecasting modelDongxiao Niu, Yongli Wang, Zhihong Gu. 368-371 [doi]
- Overview of radiation effects and design constraints off fully custom SMPSMauro Santos, Carlos Pires, Jorge Guilherme, Nuno Horta. 372-375 [doi]
- Adjustable low consumption circuit for monitorization of power source voltages in a SoCRodrigo Duarte, Júlio Paisana, Marcelino B. Santos, Floriberto A. Lima. 376-379 [doi]
- Recurrent type ANFIS using local search technique for time series predictionHiroki Tamura, Koichi Tanno, Hisashi Tanaka, Catherine Vairappan, Zheng Tang. 380-383 [doi]
- An extreme learning machine approach for training Time Variant Neural NetworksCristiano Cingolani, Stefano Squartini, Francesco Piazza. 384-387 [doi]
- An efficient Elastic Net method for edge linking of imagesJunyan Yi, Gang Yang, Yuki Todo, Zheng Tang. 388-391 [doi]
- Design of CNN cell with low-power variable-gm OTA and its applicationHisashi Tanaka, Koichi Tanno, Hiroki Tamura, Kenji Murao. 392-395 [doi]
- A novel CMOS switched-current mode sequential shift forward inference circuit for fuzzy logic controllerNgai Kong, Seng-Pan U, Rui Paulo Martins. 396-399 [doi]
- A Li-ion battery charging design for biomedical implantsChi-Chun Huang, Shou-Fu Yen, Chua-Chin Wang. 400-403 [doi]
- Architecture for Heart Rate measurementHao Jiang, Zheying Li, Le Hao. 404-406 [doi]
- A novel current-mode readout circuit for ISFET sensorChunhua Wang, Yan Zhao. 407-410 [doi]
- A 1V CMOS active pixel sensor with enhanced dynamic rangeRo-Min Weng, Chen-Lun Yen, Chun-Yu Liu. 411-413 [doi]
- A novel WSN based intelligent training system for children's sensory integrationShaohua Liu, Junsheng Yu, Yinglong Ma, Qi Dang, Yilang Cen, Hua Wang, Di Wu. 414-417 [doi]
- A low jitter DLL-based pulsewidth control loop with wide duty cycle adjustmentRo-Min Weng, Chun-Yu Liu, Yun-Chih Lu. 418-421 [doi]
- Space-time multiuser detection with alternating soft interference cancellation in highly loaded CDMA systemsHoang-Yang Lu, Wen-Hsien Fang. 422-425 [doi]
- Design of variable fractional-delay 2-D FIR digital filters by weighted least-squares approachJong-Jy Shyu, Soo-Chang Pei, Yun-Da Huang. 426-429 [doi]
- Real-time implementation of Wave Field Synthesis for sound reproduction systemsLaura Romoli, Paolo Peretti, Stefania Cecchi, Lorenzo Palestini, Francesco Piazza. 430-433 [doi]
- A robust iterative inverse filtering approach for speech dereverberation in presence of disturbancesRudy Rotili, Simone Cifani, Emanuele Principi, Stefano Squartini, Francesco Piazza. 434-437 [doi]
- An integrative algorithm for predicting protein coding regionsShuo Guo, Yi-Sheng Zhu 0001. 438-441 [doi]
- Cordic architecture for Hough Transform applicationsTsung-Han Tsai, Chia-Hao Yeh, Yu-Jung Huang. 442-445 [doi]
- Adaptive intra mode bit skip in intra codingDae-Yeon Kim, Ki-Hun Han, Yung Lyul Lee. 446-449 [doi]
- Radar echo envelope extraction using Teager energy operator and wavelet transformYuanqin Wang, Ruoyu Zhang, Jiacai Hong, Jing Sun. 450-453 [doi]
- An application of DSP to automatic PMD compensation in optical fiber communication systemsGuangqing Fang, Xiaoguang Zhang. 454-456 [doi]
- High-performance floating-point VLSI architecture of lifting-based forward and inverse wavelet transformsAndre Guntoro, Massoud Momeni, Hans-Peter Keil, Manfred Glesner. 457-460 [doi]
- Design of discrete coefficient frequency-response-masking FIR digital filtersChengyou Wang, Hon Keung Kwan. 461-464 [doi]
- Digital signal processing in electrical capacitance tomographyHuaxiang Wang, Ziqiang Cui, Yanbin Xu, Lifeng Zhang, Yongbo He. 465-468 [doi]
- Stability analysis of a generalised 2D digital Roesser type systems via lagrange methodGuido Izuta. 469-472 [doi]
- Single-channel blind separation of Two QPSK signals using per-survivor processingShilong Tu, Hui Zheng, Na Gu. 473-476 [doi]
- A newly high-speed equalizer for QAM signalsMeng Zhang, Zhixiang Jiang, Zichuang Li, Cheng Huang, Liang Dai. 477-480 [doi]
- Blind MIMO direct chaotic communication system identification via higher-order statisticYun-rui Gong, Di He, Chen He, Lingge Jiang. 481-484 [doi]
- A decision-directed receiver for CDMA MIMO systems over multipath fading channelTsui-Tsai Lin. 485-488 [doi]
- Power plant construction project safety management evaluation with fuzzy neural network modelDongxiao Niu, Yongli Wang, Xiaoyong Ma. 489-492 [doi]
- A new method of multichannel loudness compensation for hearing aidsQingyun Wang, Li Zhao, Jie Qiao, Cairong Zou. 493-496 [doi]
- DTCWT-based dynamic texture features for visual speech recognitionXiaohui Feng, Weining Wang. 497-500 [doi]
- Curved segmentation path generation for unconstrained handwritten Chinese text linesNanxi Li, Xue Gao, Lianwen Jin. 501-505 [doi]
- An IA-Brush interactive painting systemJiun-Ren Ding. 506-509 [doi]
- VoIP frame bundling for WiMAX downlinkXiangning Fan, Dengkui Zhu. 510-513 [doi]
- Efficient bit-rate estimation technique for CABACShuming Chen, Shuwei Sun. 514-517 [doi]
- A new image coding quality assessmentXuehui Wei, Lunli Li, Gang Chen. 518-521 [doi]
- Efficient pre-clipping and clipping algorithms for 3D graphics geometry computationShen-Fu Hsiao, Yuan-Nan Chang, Tze-Ching Tien, Kun-Chih Chen. 522-525 [doi]
- Sub-1V capacitor-free low-power-consumption LDO with digital controlled loopJiann-Jong Chen, Ming-Shian Lin, Ho-Cheng Lin, Yuh-Shyan Hwang. 526-529 [doi]
- Low-quiescent-current fast-response current-feedback shunt regulatorJiann-Jong Chen, Ming-Shian Lin, Che-Min Kung, Yuh-Shyan Hwang. 530-533 [doi]
- Li-ion battery management chip for multi-cell battery packYidie Ye, Chen Chen, Jin Jin, Lenian He. 534-537 [doi]
- A design of CMOS bandgap reference with low thermal drift and low offsetFang-Jie Luo, Hong-Hui Deng, Ming-Lun Gao. 538-541 [doi]
- On the design of a power conversion circuitMei Jiao, Yong-Sheng Yin, Shangquan Liang, Honghui Deng. 542-545 [doi]
- A CMOS 434/868 MHz FSK/OOK transmitter with integrated fractional-N PLLFeng Zhao, Xiaoping Gao, Huajiang Zhang, Kangmin Hu, Zhiliang Hong. 546-549 [doi]
- 5 GHz phase locked loop with auto band selectionKo-Chi Kuo, Ming-Jing Chen. 550-553 [doi]
- A 25-GHz differential LC-VCO in 90-nm CMOSMarkus Törmänen, Henrik Sjöland. 554-557 [doi]
- A 5GHz wide tuning range LC-VCO in sub-micrometer CMOS technologyRicardo Doldán Lorenzo, Antonio Jose Ginés Arteaga, Adoración Rueda, Eduardo J. Peralías. 558-561 [doi]
- SSTA considering switching process induced correlationsZeqin Wu, Philippe Maurine, Nadine Azémard, Gilles R. Ducharme. 562-565 [doi]
- Interconnect thermal simulation with higher order spatial accuracyYijiang Shen, Ngai Wong, Edmund Y. Lam. 566-569 [doi]
- A novel leakage-estimation method for input-vector controlHans-Peter Keil, Massoud Momeni, Andre Guntoro, Alberto García Ortiz, Manfred Glesner. 570-573 [doi]
- Three-level AND-OR-XOR network synthesis: A GA based approachSambhu Nath Pradhan, M. Tilak Kumar, Santanu Chattopadhyay. 574-577 [doi]
- HW/SW co-simulation platforms for VLSI designXiang Ling, Zhongqi Li, JianHao Hu, Shihong Wu. 578-581 [doi]
- Frequency synchronization for OFDM systems over doubly-selective channelsJianwu Chen, Yik-Chung Wu, Tung-Sang Ng. 582-585 [doi]
- SDR Based Multi-Mode DBF for micro-satellite SARJeich Mar, You-Rong Lin. 586-589 [doi]
- Transmit/Receive beamformer design and resource allocation in multiuser MIMO-OFDM systemsShing-Chow Chan, Shaohua Zhao. 590-593 [doi]
- Localization and time synchronization in wireless sensor networks: A unified approachJun Zheng, Yik-Chung Wu. 594-597 [doi]
- A cross decision feedback gsc-based capon multiuser receiver for space-time block coded CDMA systemsWan-Shing Yang, Kuan-Yu Chen, Wen-Hsien Fang, Hoang-Yang Lu. 598-601 [doi]
- Parallel visualization of multiple translucent isosurfacesYongming Xie, Guangyu Wang, Tien-Tsin Wong, Pheng-Ann Heng. 602-605 [doi]
- GPU accelerated fast FEM deformation simulationYouquan Liu, Shaohui Jiao, Wen Wu, Suvranu De. 606-609 [doi]
- Image spatial diffusion on GPUsLihua Zhu, Charles Wang, Guangfei Zhu, Bo Han, Heng Wang, Peijie Huang, Enhua Wu. 610-613 [doi]
- Real-time simulation of large-scale dynamic forest with GPULong Zhang, Yubo Zhang, Wei Chen 0001, Qunsheng Peng. 614-617 [doi]
- Emerging technology about GPGPUEnhua Wu, Youquan Liu. 618-622 [doi]
- Locating observers and controllers for severely disturbed power system using mode matricesFang Yang, Yuanzhang Sun, Lin Cheng, Jianyun Zhang. 623-626 [doi]
- Field experiments of wide area damping controllers for multiple HVDC linksChao Lu, Yingduo Han, Xiaochen Wu, Peng Li, Jingtao Wu, Jinghai Shi. 627-630 [doi]
- Power system transient instability detection algorithm based on real time measurementBaohui Zhang, Huan Xie, Guang-liang Yu, Peng Li, Zhiqian Bo, Andrew Klimek. 631-634 [doi]
- Fast assessment of regional voltage stability based on WAMSJinli Zhao, Yuan Zeng, Wei Wei, Hongjie Jia. 635-638 [doi]
- PMU standard of ChinaJingtao Wu, Ji Zhou, Daonong Zhang, Zhaojia Wang, Shiming Xu. 639-641 [doi]
- A moving binary search SAR-ADC for low power biomedical data acquisition systemWen-Sin Liew, Libin Yao, Yong Lian. 646-649 [doi]
- Low power integrated circuits for wireless neural recording applicationsXu Zhang, Weihua Pei, Qiang Gui, Hongda Chen 0002. 650-653 [doi]
- Function-based memory partitioning on low power digital signal processor for cochlear implantsSongping Mai, Chun Zhang, Zhihua Wang. 654-657 [doi]
- Microelectronic channel bridge and signal regeneration of injured spinal cordsZhi-Gong Wang, Xiao-Song Gu, Xiao-Ying Lü, Zheng-Lin Jiang, Wen-Yuan Li, Guang Ming Lu, Yu-feng Wang, Hui-Ling Wang, Zhen-yu Zhang, Hong-Mei Shen, Yang Wu, Wei-Xing Shen, Jing-Yang Zhang, Dong Chen. 658-661 [doi]
- A low supply-dependence fully-MOSFET voltage reference for low-voltage and low-powerJun Shu, Min Cai. 662-665 [doi]
- A sub-1 volt CMOS bandgap reference with high power supply rejectionSameer Somvanshi. 666-667 [doi]
- A topological comparison of PWM and hysteresis controls in switching audio amplifiersGaël Pillonnet, Remy Cellier, Emmanuel Allier, Nacer Abouchi, Angelo Nagari. 668-671 [doi]
- A high performance peak detector sample and hold circuit for detecting power supply noiseHwang-Cherng Chow, Zhi-Hau Hor. 672-675 [doi]
- Design of a 1.8V on-chip voltage generator for applications in low voltage transceiverRichard Jin Guan Saw, Sudhanshu Shekhar Jamuar. 676-679 [doi]
- A 1.25Gbps all-digital clock and data recovery circuit with binary frequency acquisitionChi-Shuang Oulee, Rong-Jyi Yang. 680-683 [doi]
- A 10-Gb/s fully balanced differential output transimpedance amplifier in 0.18-μm CMOS technology for SDH/SONET applicationBalasubramaniam Shammugasamy, Tun Zainal Azni Zulkifli. 684-687 [doi]
- A 5Gb/s adaptive equalizer using filter switchingTsutomu Tomioka, Takahiro Fujita, Kozue Sasaki, Hiroki Sato, Akira Hyogo, Keitaro Sekine. 688-691 [doi]
- A 1.0 volt thermal noise-canceling CMOS transimpedance-based amplifierSkawrat Wangtaphan, Apirak Suadet, Sukarasut Meksiri, Varakorn Kasemsuwan. 692-695 [doi]
- Design and implementation of the configuration circuit for FDP FPGAYabin Wang, Jing Xie, Jinmei Lai, Jiarong Tong. 696-700 [doi]
- FIR filter design on Flexible Engine/Generic ALU array and its dedicated synthesis algorithmRyo Tamura, Masayuki Honma, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki, Makoto Satoh. 701-704 [doi]
- Dynamically reconfigurable architecture for multi-rate compatible regular LDPC decodingAkiyuki Nagashima, Yuta Imai, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki. 705-708 [doi]
- An FPGA implementation of a DWT with 5/3 filter using semi-programmable hardwareAkira Yamawaki, Kazuharu Morita, Masahiko Iwane. 709-712 [doi]
- A reconfigurable arbiter for SOC applicationsChing-Chien Yuan, Yu-Jung Huang, Shih-Jhe Lin, Kai-Hsiang Huang. 713-716 [doi]
- Arithmetic reduction of adder leakage in nanoscale CMOSPeter Nilsson. 717-720 [doi]
- A novel configurable no dead-zone digital phase detector designMin Wang, Zhiping Wen, Lei Chen, Yanlong Zhang. 721-724 [doi]
- A high speed single photon counting moduleYi-Xin Guo, Zhi-biao Shao, Hui-Bin Tao, Ting Li. 725-728 [doi]
- A low-power area-efficient SRAM with enhanced read stability in 0.18-μm CMOSCihun-Siyong Alex Gong, Ci-Tong Hong, Kai-Wen Yao, Muh-Tian Shiue. 729-732 [doi]
- FPGA-based embedded system designFuming Sun, Xiaoying Li, Qin Wang, Chunlin Tang. 733-736 [doi]
- Configuration representation of a link-type self-reconfigurable mobile robotJinguo Liu, Shugen Ma, Yuechao Wang, Bin Li, Cong Wang. 737-740 [doi]
- Intelligent human-machine cooperative robot for orthopaedic surgeryPing-Lang Yen, Syh-Shiuh Yeh. 741-744 [doi]
- Inverse dynamics of 3-RRRT parallel manipulatorXinhua Zhao, Bin Li. 745-749 [doi]
- Decreasing end-effector deformation of the flexible manipulator through local motion planningYushu Bian, Chao Yun, Zhihui Gao. 750-753 [doi]
- Experimental studies on a micromanipulator for micro/nano manipulationQingsong Xu, Yangmin Li. 754-757 [doi]
- Analysis of time delay effects on wide area damping controlJingbo He, Chao Lu, Xiaoming Jin, Peng Li. 758-761 [doi]
- Determination of wide-area PSS locations and feedback signals using improved residue matricesYe Yuan, Yuanzhang Sun, Lin Cheng. 762-765 [doi]
- Interface control based on power flow tracing and generator re-dispatchingXiaodan Yu, Hongjie Jia, Jing Zhao, Wei Wei, Yan Li, Yuan Zeng. 766-772 [doi]
- A novel inter-area oscillation damping design based on wide area measurementsZhuxin Li, Bonian Shi, Yingduo Han. 773-776 [doi]
- WAMS based dynamic state estimator during power system transient processTianshu Bi, Xiaohui Qin, Qixun Yang. 777-780 [doi]
- Array blind calibration criterions for low-angle trackingBu Hong Wang, Xiao-Min Cao. 781-784 [doi]
- Variable step-size speech blind separation employing Laplacian normal mixture distribution modelXueying Zhang, Zhenhua Zhi, Xiaomei Zhang. 785-788 [doi]
- Throughput analysise of cooperative multiple packet reception based on NDMAWei Ji, Baoyu Zheng. 789-793 [doi]
- Adaptive blind equalization of SIMO FIR second order Volterra channelsKorrai Deergha Rao. 794-797 [doi]
- Non-negative matrix factorization for images with Laplacian noiseEdmund Y. Lam. 798-801 [doi]
- An untrimmed CMOS amplifier with high CMRR and low offset for sensor applicationsXiaoling Zhang, Pak Kwong Chan. 802-805 [doi]
- A nonlinearity error calibration technique based on an opamp distortion modelingArmin Jalili, Sayed Masoud Sayedi. 806-809 [doi]
- Highly-linear CMOS OTA with compensation of mobility reductionKoichi Tanno, Daisuke Ide, Kazumasa Nishimura, Hisashi Tanaka, Hiroki Tamura. 810-813 [doi]
- An improved source follower with wide swing and low output impedanceSuming Lai, Hong Zhang, Guican Chen, Jianchao Xu. 814-817 [doi]
- A low power consumption, high speed Op-amp for a 10-bit 100MSPS parallel pipeline ADCShangquan Liang, Yong-Sheng Yin, Honghui Deng, Yu-Kun Song, Minglun Gao. 818-821 [doi]
- Optimal power allocation for channel estimation in MIMO-OFCDM systemsYiqing Zhou, Tung-Sang Ng. 822-825 [doi]
- A new M-estimator for performance analysis of cellular digital mobile radio systems including diversity techniqueTipparti Anil Kumar, Korrai Deergha Rao. 826-829 [doi]
- Gram-Schmidt-based QR decomposition for MIMO detection: VLSI implementation and comparisonPeter Luethi, Christoph Studer, Sebastian Duetsch, Eugen Zgraggen, Hubert Kaeslin, Norbert Felber, Wolfgang Fichtner. 830-833 [doi]
- 4 FFT/IFFT processor for MIMO-OFDM systemsHang Liu, Hanho Lee. 834-837 [doi]
- Low power multiplexer tree design using dynamic propagation path controlNan-Shing Li, Juinn-Dar Huang, Han-Jung Huang. 838-841 [doi]
- Body-bootstrapped-buffer circuit for CMOS static power reductionLiang Yu Loy, Weija Zhang, Zhi-Hui Kong, Wang Ling Goh, Kiat Seng Yeo. 842-845 [doi]
- A power-gating scheme for CAL circuits using single-phase power-clockWeiqiang Zhang, Li Su, Jinghong Fu, Jianping Hu. 846-849 [doi]
- An all digital spread spectrum clock generator with programmable spread ratio for SoC applicationsDuo Sheng, Ching-Che Chung, Chen-Yi Lee. 850-853 [doi]
- Cycle-time-aware sequential way-access set-associative cache for low energy consumptionChih-Hui Ting, Juinn-Dar Huang, Yu-Hsiang Kao. 854-857 [doi]
- Design of fractional delay FIR filter using discrete cosine transformChien-Cheng Tseng, Su-Ling Lee. 858-861 [doi]
- Design of IIR fractional differentiator With Peano kernelSoo-Chang Pei, Peng-Hua Wang, Chia-Huei Lin. 862-865 [doi]
- Unconstrained IIR filter design method using argument principle based stability criterionAimin Jiang, Hon Keung Kwan. 866-869 [doi]
- A realization of digital filter banks for reconstruction of uniformly sampled signals from nonuniform samplesFumio Itami, Eiji Watanabe, Akinori Nishihara. 870-873 [doi]
- A novel structure for 2nd order digital filtersGang Li, Chaogeng Huang, Jingyu Hua, Bin Huang. 874-877 [doi]
- Efficient IFFT design using mapping methodIn-Gul Jang, Yong-Eun Kim, Yi-Nan Xu, Jin-Gyun Chung. 878-881 [doi]
- 40-Gb/s two-parallel Reed-Solomon based Forward Error Correction architecture for optical communicationsSeungbeom Lee, Hanho Lee, Chang-Seok Choi, Jongyoon Shin, Je Soo Ko. 882-885 [doi]
- Adaptive Cholesky based MMSE equalizer in GSMSoojin Kim, Jinyoung Lee, Younglok Kim. 886-889 [doi]
- Hardware efficient frequency estimator based on data-aided algorithm for digital video broadcasting systemChang Duk Ryu, Jang Woong Park, Myung Hoon Sunwoo, Pansoo Kim, Dae-Ig Chang. 890-893 [doi]
- Skew variation compensating technique for clock mesh networksGunok Jung, Sahun Hong, Donggyu Lee, Jin Soo Park, Sangdon Yi, Yohan Kwon, Ukrae Cho, Sung-Bae Park. 894-897 [doi]
- Dynamic current limitation circuit for white LED driverXuecheng Zou, Kai Yu, Zhaoxia Zheng, Xiaofei Chen, Zhige Zou, Dingbin Liao. 898-901 [doi]
- Design of single-inductor dual-output switching converters with average current mode controlWeiwei Xu, Xiaoting Zhu, Zhiliang Hong, Dirk Killat. 902-905 [doi]
- Self-tuning PSM controller based on state machinePing Luo, Zhaoji Li, Shaowei Zhen, Bo Zhang. 906-909 [doi]
- Optimizing the efficiency of DC-DC converters with an analog variable-frequency controllerJia-Ming Liu, Chun-Jen Yu, Yeong-Chau Kuo, Tai-Haur Kuo. 910-913 [doi]
- High-resolution DPWM generator for digitally controlled DC-DC convertersIbuki Mori, Keigo Kimura, Yoshihisa Yamada, Haruo Kobayashi, Yasunori Kobori, Santhos Ario Wibowo, Kazuya Shimizu, Masashi Kono, Hao San. 914-917 [doi]
- Block-based belief propagation with in-place message updating for stereo visionYu-Cheng Tseng, Nelson Yen-Chung Chang, Tian-Sheuan Chang. 918-921 [doi]
- Omnidirection image restoration based on spherical perspective projectionBaofeng Zhang, Zhiqiang Qi, Junchao Zhu, Zuoliang Cao. 922-925 [doi]
- Analysis of color space and similarity measure impact on stereo block matchingNelson Yen-Chung Chang, Yu-Cheng Tseng, Tian-Sheuan Chang. 926-929 [doi]
- A virtual character recognition system based on optical detection of red light and its embedded implementationKai Ding, Lianwen Jin, Hanyu Yan. 930-935 [doi]
- A CDFG generating method from C program for LSI designToshiyuki Kato, Takaaki Miyauchi, Yoshizo Osumi, Hironori Yamauchi, Hideto Nishikado, Takaaki Miyake, Shiro Kobayashi. 936-939 [doi]
- Genetical tuning of a capacitorless current-mode bandpass biquad based on single-stage CMOS OTARoungsan Chaisricharoen, Montira Moonngam. 940-943 [doi]
- Synthesis of optimal OTA-C filter structures with arbitrary transmission zeros via MINLPHing-Kit Kwan, Chung-Man Cheung, Chi-Un Lei, Ngai Wong, Ka-Leung Ho. 944-947 [doi]
- Delta discrete-time operator-based doubly-terminated two-port: Application to filter design and stability testingI-Hung Khoo, Hari C. Reddy, George S. Moschytz. 948-951 [doi]
- A cascadable current-mode universal biquadratic filter using MO-CCCCTAsWinai Jaikla, Montree Siripruchyanun. 952-955 [doi]
- Multi-bandwidth analog filter design for SDRYang Li, Svante Signell. 956-959 [doi]
- Low energy CMOS true single phase power supply clocking adiabatic differential cascode voltage switch logic circuitJosé C. García, Juan A. Montiel-Nelson, Saeid Nooshabadi. 960-963 [doi]
- Single-phase power-gating adiabatic flip-flopsHong Li, Lifang Ye, Jinghong Fu, Jianping Hu. 964-967 [doi]
- A CMOS adiabatic inverter operating with a single clock power supply to reduce non-adiabatic lossJosé C. García, Juan A. Montiel-Nelson, Saeid Nooshabadi. 968-971 [doi]
- Topology-related effects of Gated-Vdd and Gated-Vss techniques on full-adder leakage and delay at 65nm and 45 nmPradeep Nair, Savithra Eratne, Eugene John. 972-975 [doi]
- A pipelined asynchronous 8051 soft-core implemented with BalsaChang-Jiu Chen, Wei-Min Cheng, Ruei-Fu Tsai, Hung-Yue Tsai, Tuan-Chieh Wang. 976-979 [doi]
- Design of sparse arrays with high sidelobe rejectionKalyan Mondal, Sanjit K. Mitra. 980-983 [doi]
- An FPGA architecture for real-time polyphase 2D FIR double-trapezoidal plane-wave filtersThushara K. Gunaratne, Arjuna Madanayake, Leonard T. Bruton. 984-987 [doi]
- Recursive robust variable loading mvdr beamforming in impulsive noise environmentYuexian Zou, Shing-Chow Chan, Wan Bo, Zhao Jing. 988-991 [doi]
- Efficient time varying frequency tracking using recursive estimationSaman S. Abeysekera. 992-995 [doi]
- Design of broadband beamformers for microphone arrays using variably-weighted least squaresHuawei Chen, Wee Ser. 996-999 [doi]
- Timing driven force-directed floorplanning with incremental static timing analyzerSea-Ho Kim, Byung-Gyu Ahn, Ki-Seok Chung, Ki-Seok Chung, Sung-Hwan Oh. 1000-1003 [doi]
- Timing variation-aware high level synthesis: Current results and research challengesJongyoon Jung, Taewhan Kim. 1004-1007 [doi]
- A second-order gate delay modeling method with an efficient sensitivity analysisSangwoo Han, Yooseong Kim, Woosick Choi, Inho Shin, Youngdoo Choi. 1008-1011 [doi]
- Efficient cell characterization for SSTANaeun Zang, Eunsuk Park, Juho Kim. 1012-1015 [doi]
- Incremental statistical static timing analysis with gate timing yield emphasisJin Wook Kim, Wook Kim, Hyoun Soo Park, Young-Hwan Kim. 1016-1019 [doi]
- A novel space vector modulation method of three-level inverter based on the synthesized middle space vectorsWen-Xiang Song, Yang Han, Qian Ai, Chen Chen. 1020-1028 [doi]
- A capacitive-coupled transformerless active power filter with coupling current feedback controlChi-Seng Lam, Man-Chung Wong. 1029-1032 [doi]
- Evaluation of quasi-resonant dc-link technique on generalized three-level inverterIo-Keong Lok, Man-Chung Wong. 1033-1036 [doi]
- Process simulation of Trench Gate and Plate and Trench Drain SOI NLIGBT with TCAD toolsHaipeng Zhang, Lingling Sun, Lifei Jiang, Lijian Ma, Mi Lin. 1037-1040 [doi]
- CEERP: Cost-based Energy-Efficient Routing Protocol in wireless sensor networksDongsheng Yuan, Xingcheng Liu, Xiaoyu Zhang, Haengrae Cho. 1041-1045 [doi]
- A RSSI-based environmental-adaptive dynamic radiation power management for Wireless Sensor NetworksJoe-Air Jiang, Cheng-Long Chuang, Chia-Pang Chen, Tzu-Shiang Lin. 1046-1049 [doi]
- Information accuracy versus jointly sensing nodes in wireless sensor networksKang Cai, Gang Wei, Huifang Li. 1050-1053 [doi]
- Study of mobile farmland information monitoring terminal based wireless data transmission technologyLin Li, Ming-xia Shen, Cui-xia Guo. 1054-1057 [doi]
- A 0.9-2.2GHz wide-band low-noise amplifier designRan Shu, Jianhui Wu, Shengli Lu. 1058-1061 [doi]
- Current controlled fully balanced current conveyorChunhua Wang, Qiujing Zhang. 1062-1065 [doi]
- A switch IC implemented by four depletion-mode field effect transistors for dual-band GSM switch diplexer moduleShen-Whan Chen, Iung-Chih Lin, Shuming Wang, Shih-Chiang Lin, Zhao-Wei Han. 1066-1069 [doi]
- CDTA-based current limiters and applicationsTattaya Pukkalanun, Worapong Tangsrirat. 1070-1073 [doi]
- Low-voltage digitally controlled current differencing buffered amplifierDanucha Prasertsom, Worapong Tangsrirat, Wanlop Surakampontorn. 1074-1077 [doi]
- Low-jitter PLL by interpolate compensationYutaka Nakanishi, Fuminori Kobayashi, Hitoshi Kondoh. 1078-1081 [doi]
- Frequency-invariant pattern synthesis for conformal array with space-time-polarization weightingBu Hong Wang, Xiao-Min Cao. 1082-1085 [doi]
- The design and algorithm mapping of a heterogeneous multi-core processor for SDRXing Fang, Shuming Chen. 1086-1089 [doi]
- Unambiguous tracking techniques for Galileo BOC(1, 1) signalsXiangning Fan, Shuancheng Huang. 1090-1093 [doi]
- Efficient modulation on the performance of coherent receivers for pseudo-chaotic TH-UWB systemYun-rui Gong, Di He, Chen He, Lingge Jiang. 1094-1097 [doi]
- Efficient radius and list updating units design for list sphere decodersQingwei Li, Zhongfeng Wang, Xingcheng Liu. 1098-1102 [doi]
- Electronic Shelf Label System based on public illuminating networkHong Hong, Yi Ren, Ricai Tian, Liyi Xiao. 1103-1106 [doi]
- Robust solution for synchronous communication among multi clock domainsJorge Semião, João Varela, Judit Freijedo, Juan J. Rodríguez-Andina, Carlos Leong, João Paulo Teixeira, Isabel C. Teixeira. 1107-1110 [doi]
- Efficient architecture for the Tate pairing in characteristic threeQingwei Li, Zhongfeng Wang, Xinmiao Zhang, Xingcheng Liu. 1111-1115 [doi]
- Study on a detection algorithm for MIMO systemsYueHua Ding, Junxun Yin. 1116-1119 [doi]
- Traffic analysis of a mobile cellular system based on a scale-free user network and a power-law-distributed mobility modelWai Man Tam, Francis C. M. Lau, Chi Kong Tse. 1120-1123 [doi]
- Cellular design for a dense RFID reader environmentSaeed Pourbagheri, Mehrdad Sharif Bakhtiar, Mojtaba Atarodi. 1124-1127 [doi]
- A reduced area 1 GSPS FFT design using MRMDF architecture for UWB communicationTuhin Subhra Chakraborty, Saswat Chakrabarti. 1128-1131 [doi]
- On output reorder buffer design of bit reversed pipelined continuous data FFT architectureTuhin Subhra Chakraborty, Saswat Chakrabarti. 1132-1135 [doi]
- Fast locking and high accurate current matching phase-locked loopSilin Liu, Yin Shi. 1136-1139 [doi]
- A wide band and low PN PLL design for digital tunerShizhen Huang, Wei Lin, Fenglin Gao. 1140-1143 [doi]
- An electronically controllable dual-mode universal biquad filter using only single CCCCTAWinai Jaikla, Pisede Sornklin, Montree Siripruchyanun. 1144-1147 [doi]
- High-order delta-sigma modulator with switched-current feedback memory cellGuo-Ming Sung, Chih-Ping Yu, Yueh-Hung Hou. 1148-1151 [doi]
- A novel two-channel time-interleaved forth-order sigma-delta modulator topologyXiao Yang, Guican Chen, Jun Cheng, Xiaoyun Xu. 1152-1155 [doi]
- A tunable SC bandpass delta-sigma modulator for multi-standard applicationsShu-Chuan Huang, Chia-Te Fu. 1156-1159 [doi]
- A 1-V 90dB DR audio stereo DAC with embedding headphone driverKim-Fai Wong, Ka-Ian Lei, Seng-Pan U, Rui Paulo da Silva Martins. 1160-1163 [doi]
- A self-timing switch-driving register by precharge-evaluate logic for high-speed SAR ADCsU. Fat Chio, He Gong Wei, Yan Zhu, Sai-Weng Sin, Seng-Pan U, Rui Paulo da Silva Martins. 1164-1167 [doi]
- Current mode track and hold circuit with 50MS/sec speed and 8-bit resolutionOi-Ying Wong, Wing-Shan Tam, Chi-Wah Kok, Hei Wong. 1168-1171 [doi]
- A comparison of second-order sigma-delta modulator between switched-capacitor and switched-current techniquesGuo-Ming Sung, Chih-Ping Yu, Dong-An Yao. 1172-1175 [doi]
- A low-voltage high-gain wide-bandwidth class-AB differential difference amplifierFuding Ge, Brent Thomas. 1176-1179 [doi]
- Wide-common-mode-range and high-CMRR CMOS OTA operable in both weak and strong inversion regionsKoichi Tanno, Hisashi Tanaka, Ryota Miwa, Hiroki Tamura. 1180-1183 [doi]
- Designing of a neuron MOS current mirror with a transimpedance amplifierAkio Shimizu, Sumio Fukai, Yohei Ishikawa. 1184-1187 [doi]
- A CMOS low-voltage fully differential sample-and-hold circuitChi-Chang Lu, Wei-Xiang Tung, Chien-Kuo Huang. 1188-1191 [doi]
- A process- and temperature- insensitive current-controlled delay generator for sampled-data systemsHe Gong Wei, U. Fat Chio, Yan Zhu, Sai-Weng Sin, Seng-Pan U, Rui Paulo da Silva Martins. 1192-1195 [doi]
- An improved universal CMOS current-mode analog function synthesizer: Performance analysisMuhammad Taher Abuelma'atti, Nawal Mansour Al-Yahia. 1196-1199 [doi]
- A precise bandgap reference with intrinsic compensation for current-mirror mismatchSizhen Li, Xuecheng Zou, Xiaofei Chen, Zhige Zou, Kai Yu, Hao Zhang. 1200-1203 [doi]
- A Sub-1ppm/°C high-order curvature-compensated bandgap referenceYan Li, Jin Wu, Zhiqi Huang, Zongli Gao. 1204-1207 [doi]
- Feasible implementation of a prediction algorithm for the game of rouletteMichael Small, Chi Kong Tse. 1208-1211 [doi]
- State-observer-based approach for identification and monitoring of complex dynamical networksHao Liu, Guo-Ping Jiang, Chun-Xia Fan. 1212-1215 [doi]
- On the approximation errors in the frequency test included in the NIST SP800-22 statistical test suiteFabio Pareschi, Riccardo Rovatti, Gianluca Setti. 1216-1219 [doi]
- Powder RFID chip technologyMitsuo Usami. 1220-1223 [doi]
- A 0.8V SOP-based cascade multibit delta-sigma modulator for wideband applicationsChien-Hung Kuo, Kuan-Yi Lee, Shuo-Chau Chen. 1224-1227 [doi]
- On the design of band-pass quadrature ΣΔ modulatorsKumar Y. B. Nithin, Selçuk Talay, Franco Maloberti. 1228-1231 [doi]
- ΔΣAD modulator for low power applicationHajime Konagaya, HaiJun Lin, Hao San, Haruo Kobayashi, Kazumasa Ando, Hiroshi Yoshida, Chieto Murayama, Yukihiro Nisida. 1232-1235 [doi]
- An ultra low-power delta-sigma modulator using charge-transfer amplifier techniqueChien-Hung Kuo, Kuan-Yi Lee, Ming-Feng Wu. 1236-1239 [doi]
- A novel coefficient automatic calculation method for sinc filter in sigma-delta ADCsFeng Yi, Xiaobo Wu. 1240-1243 [doi]
- Using asynchronous circuits for communications in wireless endoscopic capsuleXiaoyu Zhang, Hanjun Jiang, Zhihua Wang. 1244-1247 [doi]
- Optical front-end receiver configuration for 30 GHz millimeter-wave signal Radio over Fiber systemHilman Harun, Sevia Mahdaliza Idrus, Abu Bakar Mohammad, Norliza Mohamed. 1248-1251 [doi]
- A 1.2V 5.14mW quadrature frequency synthesizer in 90nm CMOS technology for 2.4GHz ZigBee applicationsAntonio Jose Ginés, Ricardo Doldán, Alberto Villegas, Antonio J. Acosta, Maria Angeles Jalón, Diego Vázquez, Adoración Rueda, Eduardo J. Peralías. 1252-1255 [doi]
- Wideband double-UT RFID tag antenna designJuanjuan Tan, Xiuping Li. 1256-1259 [doi]
- A scalable distributed memory architecture for Network on ChipYuang Zhang, Li Li 0003, Shengguang Yang, Lan Dong, Xiaoxiang Lou, Minglun Gao. 1260-1263 [doi]
- Design and performance evaluation of a 2D-mesh Network on Chip prototype using FPGALuofeng Geng, Gaoming Du, Duoli Zhang, Minglun Gao, Ning Hou, Yu-Kun Song. 1264-1267 [doi]
- RaceCheck: A race logic audit program for ESL-based soc designsTerence Chan. 1268-1271 [doi]
- The design and transport latency analysis of a locality-aware network on chip architectureChung-Ping Young, Chung-Chu Chia, Yen-Bor Lin. 1272-1275 [doi]
- On-Chip-Network cryptosystem: A high throughput and high security architectureChung-Ping Young, Chung-Chu Chia, Liang-Bi Chen, Ing-Jer Huang. 1276-1279 [doi]
- Analysis-by-synthesis method for whisper-speech reconstructionFarzaneh Ahmadi, Ian Vince McLoughlin, Hamid R. Sharifzadeh. 1280-1283 [doi]
- High pitch source isolation using complex cepstrum in the autocorrelation domainBisrat Derebssa, Tetsuya Shimamura. 1284-1287 [doi]
- Non-blind audio-watermarking using compression-expansion of signalsSaywei Foo. 1288-1291 [doi]
- A frequency-based fast block type decision algorithm for intra prediction in H.264/AVC high profileTianruo Zhang, Guifen Tian, Satoshi Goto. 1292-1295 [doi]
- n+1 squarer designRamya Muralidharan, Chip-Hong Chang, Ching-Chuen Jong. 1296-1299 [doi]
- A novel basic unit level rate control algorithm and architecture for H.264/AVC video encodersBing-Tsung Wu, Tzu-Chun Chang, Jiun-In Guo, Ching-Lung Su. 1300-1303 [doi]
- Hardware development for pervasive healthcare systems: Current status and future directionsAmit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi. 1304-1307 [doi]
- An efficient architecture for HWT using sparse matrix factorisation and DA principlesAbdul Naser Sazish, Abbes Amira. 1308-1311 [doi]
- A new battery management system for li-ion battery packsChen Chen, Jin Jin, Lenian He. 1312-1315 [doi]
- Design of off-chip capacitor-free CMOS low-dropout voltage regulatorXin Liu, Shuai Wang, Shuxu Guo, Yuchun Chang. 1316-1319 [doi]
- A CMOS low-dropout regulator with 3.3 μA quiescent current independent of off-chip capacitorYi Wang, Chuanrong Cui, Wenchao Gong, Zhihua Ning, Lenian He. 1320-1323 [doi]
- Two-stage current-mode multiphase voltage doubler based on PWM controlYuen-Haw Chang. 1324-1327 [doi]
- Design strategy for 2-phase switched capacitor charge pumpOi-Ying Wong, Wing-Shan Tam, Chi-Wah Kok, Hei Wong. 1328-1331 [doi]
- Cost effective hardware sharing architecture for fast 1-D 8×8 forward and inverse integer transforms of H.264/AVC high profileGuo-An Su, Chih-Peng Fan. 1332-1335 [doi]
- Architecture design of low-power and low-cost CAVLC decoder for H.264/AVCHan-Jung Huang, Chih-Peng Fan. 1336-1339 [doi]
- Design of a memory-based VLC decoder for portable video applicationsWei-Chin Lee, Yao Li, Chen-Yi Lee. 1340-1343 [doi]
- Transformed-based mode decision algorithm for H.264/AVC intrapredictionHeng-Yao Lin, Kuan-Hsien Wu, Bin-Da Liu, Jar-Ferr Yang. 1344-1347 [doi]
- A block type decision algorithm for H.264/AVC intra prediction based on entropy featureGuifen Tian, Tianruo Zhang, Xianghui Wei, Satoshi Goto. 1348-1351 [doi]
- An integrated low power one-pin crystal oscillatorJason Yi Jun Tan, Kian Ann Ng, Yong Ping Xu. 1352-1355 [doi]
- A 2.5Gb/s oversampling clock and data recovery circuit with frequency calibration techniqueKai Pong Wu, Ching-Yuan Yang, Jung-Mao Lin. 1356-1359 [doi]
- A DC-offset-compensated, CT/DT hybrid filter with process-insensitive cutoff and low in-band group-delay variation for WLAN receiversKa-Fai Un, Pui-In Mak, Rui Paulo da Silva Martins. 1360-1363 [doi]
- A 90nm CMOS wide-band voltage-controlled ring oscillator for digital TV-tunerKai Yu, Xuecheng Zou, Jianming Lei, Guoyi Yu, Sizhen Li, Yunwu Chen. 1364-1366 [doi]
- A novel versatile circuit functioning as both filter and oscillator based on CCCCTAsPhamorn Silapan, Winai Jaikla, Montree Siripruchyanun. 1367-1370 [doi]
- Design of passive UHF RFID tag in 130nm CMOS technologyYang Hong, Chi Fat Chan, Jianping Guo, Yuen Sum Ng, Weiwei Shi, Lincoln Lai Kan Leung, Ka Nang Leung, Chiu-sing Choy, Kong-Pang Pun. 1371-1374 [doi]
- Printed circuit antenna for UWB systemsJintana Nakasuwan, Paitoon Rakluea, Virote Pirajnanchai. 1375-1378 [doi]
- Ku band voltage controlled oscillator on SiGeJorge A. Torres, João Costa Freire. 1379-1382 [doi]
- A divide-by-3 frequency divider for I/Q generation in a multi-band frequency synthesizerSaeed Saeedi, Mojtaba Atarodi, Mehrdad Sharif Bakhtiar. 1383-1386 [doi]
- A 0.8V folded-cascode low noise amplifier for multi-band applicationsRuey-Lue Wang, Shih-Chih Chen, Cheng-Lin Huang, Chang-Xing Gao, Yi-Shu Lin. 1387-1389 [doi]
- A top-down, mixed-level design methodology for CT BP ΔΣ modulator using verilog-AHung-Yuan Chu, Chun-Hung Yang, Chi-Wai Leng, Chien-Hung Tsai. 1390-1393 [doi]
- A Wideband three-stage rail-to-rail power amplifier driving large capacitive loadWing-Shan Tam, Oi-Ying Wong, Chi-Wah Kok, Hei Wong, Albert Z. H. Wang. 1394-1397 [doi]
- A novel schmitt trigger with low temperature coeficientZhige Zou, Xuecheng Zou, Dingbin Liao, Fan Guo, Jianming Lei, Xiaofei Chen. 1398-1401 [doi]
- A full current-mode sense amplifier for low-power SRAM applicationsAnh-Tuan Do, Jeremy Yung Shern Low, Zhi-Hui Kong, Kiat Seng Yeo, Joshua Yung Low Yung Lih. 1402-1405 [doi]
- A programmable duty cycle corrector based on delta-sigma modulated PWM mechanismGung-Yu Lin, Ching-Yuan Yang, Yu Lee, Jun-Hong Weng. 1406-1409 [doi]
- Sufficient conditions for non-negative impulse response of arbitrary-order systemsYuzhe Liu, Peter H. Bauer. 1410-1413 [doi]
- Generalized matrix method for efficient residue to decimal conversionKazeem Alagbe Gbolagade, Sorin Dan Cotofana. 1414-1417 [doi]
- A low-cost continuous flow parallel memory-based FFT processor for Ultra-Wideband (UWB) applicationsChin-Long Wey, Shin-Yo Lin, Hsu-Sheng Wang, Chun-Ming Huang. 1418-1421 [doi]
- Efficient designs of flaoting-point CORDIC rotation and vectoring operationsShen-Fu Hsiao, Hsin-Mau Lee, Yen-Chun Cheng, Ming-Yu Tsai. 1422-1425 [doi]
- Efficient structures for time delay estimation in time-varying environmentsTomi Hila, Hon Keung Kwan. 1426-1429 [doi]
- Efficient fast filter bank with a reduced delayJun Wei Lee, Yong Ching Lim. 1430-1433 [doi]
- Precoded MIMO-SC-FDE and blind channel estimationKa Chun Kwok, Zhiping Lin, Yonghong Zeng. 1434-1437 [doi]
- Subband adaptive filtering using approximately alias-free cosine modulated filterbanksYin-Shao Chang, See-May Phoong, Yuan-Pei Lin. 1438-1441 [doi]
- Delta operator based design of 1-D and 2-D filters: An overviewI-Hung Khoo, Hari C. Reddy. 1442-1445 [doi]
- Intergrated control system of multi-channel audio signals for serial transmissionSunyong Lee, Taewan Kim, Jisung Byun, Yunmo Chung, Moonvin Song. 1446-1449 [doi]
- Design of high-performance transform and quantization circuit for unified video CODECSeonyoung Lee, Kyeongsoon Cho. 1450-1453 [doi]
- Adaptive rate control for real-time video streaming over the mobile WiMAXChanghyun Lee, Kwanwoong Song, Younghun Joo, Yongserk Kim. 1454-1457 [doi]
- A compact DSP architecture for digital audioChangwon Ryu, Hyungbae Park, JuSung Park, Kangjoo Kim. 1458-1461 [doi]
- Auto white balance system using adaptive color samples for mobile devicesWonwoo Jang, Kyoungsoo Son, Joohyun Kim, Bongsoon Kang. 1462-1465 [doi]
- Multi-direction search algorithm for block-based motion estimationLai-Man Po, Ka-Ho Ng, Ka-Man Wong, Kwok-Wai Cheung. 1466-1469 [doi]
- A low bandwidth integer motion estimation module for MPEG-2 to H.264 transcodingXianghui Wei, Wenming Tang, Guifen Tian, Satoshi Goto. 1470-1473 [doi]
- Multi-direction search algorithm for block motion estimation in H.264 encoderChiung Chun Lin, Yinyi Lin, Hui-Jane Hsieh. 1474-1477 [doi]
- Two-step windowing technique for wide range motion estimationMeng-Chun Lin, Lan-Rong Dung. 1478-1481 [doi]
- Stereoscopic video generation from monoscopic compressed moving videosHung-Ming Wang, Yan-Hong Chen, Jar-Ferr Yang. 1482-1485 [doi]
- A high-performance current-mode precision full-wave rectifier based on BiCMOS-CCCDBAsPhamorn Silapan, Winai Jaikla, Montree Siripruchyanun. 1486-1489 [doi]
- Current controlled CCTA based- novel grounded capacitance multiplier with temperature compensationPhamorn Silapan, Chaiya Tanaphatsiri, Montree Siripruchyanun. 1490-1493 [doi]
- A current-mode wheatstone bridge employing only single DO-CDTAChaiya Tanaphatsiri, Winai Jaikla, Montree Siripruchyanun. 1494-1497 [doi]
- Low voltage, high performance first and third generation current conveyor in 0.18μm CMOSChun-Chieh Chen, Kai-Yao Lin, Nan-Ku Lu. 1498-1501 [doi]
- Novel realization of voltage transfer function with fractional characteristics using CFAsAnkur Verma, Jobin James. 1502-1505 [doi]
- Scalable and parallel codec architectures for the DVB-S2 FEC systemMarco Alexandre Cravo Gomes, Gabriel Falcão Paiva Fernandes, Vítor Manuel Mendes da Silva, Vitor Ferreira, Alexandre Sengo, Luís Silva, Nuno Marques, Miguel Falcão. 1506-1509 [doi]
- m) targeted for low area scalable inverter on FPGAMohamed N. Hassan, Mohammed Benaissa. 1510-1513 [doi]
- m) multiplier using Polynomial Residue Number SystemJunfeng Chu, Mohammed Benaissa. 1514-1517 [doi]
- A new decoder for binary quadratic residue code with irreducible generator polynomialMing-Haw Jing, Yaotsu Chang, Jian-Hong Chen, Zih-Heng Chen, Jia-Hao Chang. 1518-1521 [doi]
- An 8B/10B encoder with a modified coding tableYong Woo Kim, Jin-Ku Kang. 1522-1525 [doi]
- A new BPSK demodulation circuit for command transmission in wireless implantable neural recording systemZhaohui Wu, Zhiming Liang, Bin Li. 1526-1528 [doi]
- Voltage averaging technique for improving the resolution of two-capacitor DACXiaochen Lu, Xueren Zheng, Hanhua Liu. 1529-1532 [doi]
- Dynamic TDM virtual circuit implementation for NoCYu Wang, Kai Zhou, Zhonghai Lu, Huazhong Yang. 1533-1536 [doi]
- The irradiation effect of DC-DC power converter under X-rayYunfei En, Yujuan He, Hongwei Luo, Qian Shi, Xianjun Kuang, Zhijian Pan. 1537-1541 [doi]
- A minimum-path mapping algorithm for 2D mesh Network on Chip architectureGe Fen, Wu Ning. 1542-1545 [doi]
- New approaches to interconnect macromodeling with explicit delay extractionZhigang Hao, Guoyong Shi. 1546-1549 [doi]
- A semi-monotonic routing method for fanin type Ball Grid Array packagesYoichi Tomioka, Atsushi Takahashi. 1550-1553 [doi]
- Arbitrary convex and concave rectilinear block packing based on O-Tree representationKunihiro Fujiyioshi, Hidehiko Ukibe. 1554-1557 [doi]
- Chip to chip communications for terabit transmission ratesBrian Moore, Chris Sellathamby, Steven Slupsky, Kris Iniewski. 1558-1561 [doi]
- High-speed mixed-signal SoC design for basestation applicationWenquan Sui, Tongyi Li. 1562-1565 [doi]
- High-speed serial interconnect transceiver: Applications and designHui Wang, Yuhua Cheng. 1566-1571 [doi]
- Accurate Bit-Error-Rate estimation for efficient high speed I/O testingDongwoo Hong, Kwang-Ting Cheng. 1572-1575 [doi]
- Multi-access memory architecture for image applications with multiple interested regionsJinbo Xu, Yong Dou, Jie Zhou. 1576-1579 [doi]
- Parallel implementation of morphological processing by arbitrary kernels on Cell/BE with OpenCV interfaceHiroki Sugano, Ryusuke Miyamoto. 1580-1583 [doi]
- A location map-free visible and reversible watermarking method with authentication abilitySeungwu Han, Masaaki Fujiyoshi, Hitoshi Kiya. 1584-1587 [doi]
- Reversibly sharing and hiding secret using expansion strategyChang-Chu Chen, Chin-Chen Chang. 1588-1591 [doi]
- An architecture of photo core transform in HD photo coding system for embedded systems of various bandwidthsKoichi Hattori, Hiroshi Tsutsui, Hiroyuki Ochi, Yukihiro Nakamura. 1592-1595 [doi]
- On the use of multi-tone for the measurement of noise power ratio distortion in RF circuitsCheng Huang, Meng Zhang, Jianhui Wu, Shengli Lu, Longxing Shi. 1596-1599 [doi]
- Harmonic and IMD frequency components affecting nonlinear distortion with feed back in MOSFET amplifiersAli Abuelmaatti, Iain Thayne, Muhammad Taher Abuelma'atti. 1600-1603 [doi]
- An adaptive scheme for estimating and correcting RF amplifiers' non-linearitiesPedro Fonseca da Mota, José Machado da Silva, John Long. 1604-1607 [doi]
- Linearization of table-based MOSFET Model parameters for nonlinear quantificationAli Abuelmaatti, Iain Thayne, Muhammad Taher Abuelma'atti. 1608-1611 [doi]
- Behavioral modeling for operational amplifier in sigma-delta modulators with Verilog-AYi Wang, Yikai Wang, Lenian He. 1612-1615 [doi]
- Design of analog mixer for RF frontendGo Ai Mei, Sudhanshu Shekhar Jamuar. 1616-1619 [doi]
- Signal estimation method for folding ADCs in wideband multistandard SWR receiversChunming Zhang, ZhiBiao Shao. 1620-1623 [doi]
- Impact of circuit nonidealities on the implementation of switched-capacitor resonatorsMassoud Momeni, Andre Guntoro, Hans-Peter Keil, Manfred Glesner. 1624-1627 [doi]
- Electrical-level synthesis of pipeline ADCsJesús Ruiz-Amaya, Manuel Delgado-Restituto, Ángel Rodríguez-Vázquez. 1628-1631 [doi]
- A ROM-less DDFS using a nonlinear DAC with an error compensation current arrayChua-Chin Wang, Chia-Hao Hsu, Tuo-Yu Yao, Jian-Ming Huang. 1632-1635 [doi]
- Low-complexity shift-LDPC decoder for high-speed communication systemsChuan Zhang, Li Li 0003, Jun Lin, Zhongfeng Wang. 1636-1639 [doi]
- Efficient decoder design for high-throughput LDPC decodingZhiqiang Cui, Zhongfeng Wang, Xinmiao Zhang, Qingwei Jia. 1640-1643 [doi]
- An O(qlogq) log-domain decoder for non-binary LDPC over GF(q)Chun-Hao Liao, Chien-Yi Wang, Chun-Hao Liu, Tzi-Dar Chiueh. 1644-1647 [doi]
- Efficient encoding for dual-diagonal structured LDPC codes based on parity bit prediction and correctionChia-Yu Lin, Chih-Chun Wei, Mong-Kai Ku. 1648-1651 [doi]
- An IP generator for quasi-cyclic LDPC convolutional code decodersChun-Hao Liao, Jun-Wei Lin, Yen-Shuo Chang, Ching-Hao Yu, Chun-Hao Liu, Tzi-Dar Chiueh. 1652-1655 [doi]
- Low power 32-bit UniRISC with Power Block ManagerYi-Mao Hsiao, Te-Jung Lo, Yuan-Sun Chu, Shi-Wu Lo. 1656-1659 [doi]
- Design of simple and high speed VLSI core for the protection of mass storagesMing-Haw Jing, Zih-Heng Chen, Jian-Hong Chen, Cheng-Yi Wu. 1660-1663 [doi]
- Design and implementation of co-design toolset for tcore processorJizeng Wei, Wei Guo, Jizhou Sun, Zaifeng Shi. 1664-1667 [doi]
- Processor frequency assignment in three-dimensional MPSoCs under thermal constraints by polynomial programmingGuangyao Zhao, Hing-Kit Kwan, Chi-Un Lei, Ngai Wong. 1668-1671 [doi]
- VLSI implementation and optimization design of Reed-Solomon decoder in QAM demodulation chipMeng Zhang, Xing Gao, Zhisheng Dai, Tingting Tao, Zhongju Yin, Shengli Lu. 1672-1675 [doi]
- Wire density driven top-down global placement for CMP variation controlChangdao Dong, Qiang Zhou, Yici Cai, Xianlong Hong. 1676-1679 [doi]
- Minimization of delay insertion in clock period improvement in general-synchronous frameworkYukihide Kohira, Shuhei Tani, Atsushi Takahashi. 1680-1683 [doi]
- Timing-driven multi-layer Steiner tree construction with obstacle avoidanceJin-Tai Yan, Zhi-Wei Chen. 1684-1687 [doi]
- Timing-constrained yield-driven redundant via insertionJin-Tai Yan, Zhi-Wei Chen, Bo-Yi Chiang, Yu-Min Lee. 1688-1691 [doi]
- Electromigration-aware rectilinear Steiner tree construction for analog circuitsJin-Tai Yan, Zhi-Wei Chen. 1692-1695 [doi]
- Current-mode gigabit optical receivers in submicron CMOS technologiesBoo-Young Choi, Jung-Won Han, Jisook Yun, Mikyung Seo, Sung Min Park. 1696-1699 [doi]
- A 4GHz direct digital frequency synthesizer utilizing a nonlinear sine-weighted DAC in 90nm CMOSHong Chang Yeoh, Kwang-Hyun Baek. 1700-1703 [doi]
- Current-sensing technique for current-mode DC-DC buck converter with offset-voltage compensationJungeui Park, Jungsoo Choi, Wooju Jeong, Sangduk Yu, Kichang Jang, Youngchan Choi, Joongho Choi. 1704-1707 [doi]
- A implementation of fully integrated frequency synthesizer For ISM band transceiverYounwoong Chung, Jinwook Burm. 1708-1711 [doi]
- A design of sub-band generator for MB-OFDM UWB application in 0.13 μm RF CMOS processJoon-Sung Park, YoungGun Pu, Young Shin Kim, Chul Nam, Kang-Yoon Lee. 1712-1715 [doi]
- Characterization of structures maskless-etched by low-energy FIBPeerasak Chantngarm. 1716-1719 [doi]
- Asymmetric dual-gate multi-fin keeper bias options and optimization for low power and robust FinFET domino logicSherif A. Tawfik, Volkan Kursun. 1720-1723 [doi]
- An ultrahigh-speed full adder using resonant-tunneling logic gatesTakao Waho, Hiroki Okuyama, Tomohiko Ebata, Ryousuke Kato. 1724-1727 [doi]
- A novel optical mesh network-on-chip for gigascale systems-on-chipHuaxi Gu, Jiang Xu, Zheng Wang. 1728-1731 [doi]
- A tight-binding model of the benzene-molecular systemLi Na, Cai Min. 1732-1734 [doi]
- A content-aware packets priority ordering and marking scheme for H.264 video over diffserv networkGang Sun, Wei Xing, Dongming Lu. 1735-1738 [doi]
- Adaptive partition size temporal error concealment for H.264Jie Li, King Ngi Ngan. 1739-1742 [doi]
- Pooled zero vector coding for enhanced compression of motion vectorsBongsoo Jung, Byeungwoo Jeon. 1743-1746 [doi]
- An adaptive interpolation scheme for inter-layer predictionYou Weiwei, Liang Fan, Wang Yuangen. 1747-1750 [doi]
- Efficient built-in self-test for video coding cores: A case study on motion estimation computing arrayYu-Sheng Huang, Chen-Kai Chen, Chun-Lung Hsu. 1751-1754 [doi]
- LFSR based hybrid pattern scheme achieving low power dissipation and high fault coverageSyed Zahidul Islam, Mohd A. M. Ali. 1755-1758 [doi]
- SimSoC: A SystemC TLM integrated ISS for full system simulationClaude Helmstetter, Vania Joloboff. 1759-1762 [doi]
- A proposed FPGA-based parallel architecture for matrix multiplicationSyed Manzoor Qasim, Shuja Ahmad Abbasi, Bandar Almashary. 1763-1766 [doi]
- BIST approach for testing configurable logic and memory resources in FPGAsZhiquan Zhang, Zhiping Wen, Lei Chen, Tao Zhou, Fan Zhang. 1767-1770 [doi]
- A fully-differential subthreshold SRAM cell with auto-compensationMu-Tien Chang, Wei Hwang. 1771-1774 [doi]
- A technique of automatic monitor generation based on FSMDuoli Zhang, Yu-Kun Song, Gaoming Du, Yuanjie Zhai. 1775-1778 [doi]
- Unknown response masking with minimized observable response loss and mask dataYouhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki. 1779-1781 [doi]
- A chaos-based image encryption ASIC using reconfigurable logicYiWei Zhang, Zexiang Liu, Xinjian Zheng. 1782-1785 [doi]
- Compressor tree based processing element optimization in propagate partial SAD architectureYiqing Huang, Qin Liu, Takeshi Ikenaga. 1786-1789 [doi]
- An asynchronous fixed-coefficient FIR filter implemented with flexible a-Si TFT technologyJiun-Ying Bai, Han-Ru Chen, Shao-Yi Chien. 1790-1793 [doi]
- Fast sweeping methods for checking passivity of descriptor systemsYansong Liu, Ngai Wong. 1794-1797 [doi]
- A new modulo-N based digital clock synthesizer with an adjustable duty-cycleChen-Feng Chen, Jiun-Jia Huang, Yawgeng A. Chau. 1798-1801 [doi]
- Implementation of reconfigurable SHA-2 hardware coreTodor Mladenov, Saeid Nooshabadi. 1802-1805 [doi]
- High throughput 32-bit AES implementation in FPGAChi-Jeng Chang, Chi-Wu Huang, Kuo-Huang Chang, Yi-Cheng Chen, Chung-Cheng Hsieh. 1806-1809 [doi]
- A novel dynamic reconfigurable VLSI architecture for H.264 transformsWei Cao, Hui Hou, Jinmei Lai, Jiarong Tong, Hao Min. 1810-1813 [doi]
- Efficient content based image retrieval through sector histogramNguyen Huu Quynh, Ngo Quoc Tao, Ngo Truong Giang. 1814-1817 [doi]
- Construction of short-length LDPC codes with low error floorXia Zheng, Francis C. M. Lau, Chi Kong Tse, Yejun He. 1818-1821 [doi]
- 3D map building based on projection of virtual height lineHuahua Chen, Minhui Dong. 1822-1825 [doi]
- A survey on the structures of current mobile humanoid robotsJingguo Wang, Yangmin Li. 1826-1829 [doi]
- A general model of a kind of parallel manipulator for active control based on KANE's dynamicsYuan Yun, Yangmin Li. 1830-1833 [doi]
- Sliding mode control for trajectory tracking on mobile manipulatorsWeimin Ge, Duofang Ye, Wenping Jiang, Xiaojie Sun. 1834-1837 [doi]
- Sufficient conditions and error analysis on radial equivalent circuit of multi-winding isolating transformers used in power electronic devicesYongqiang Zhu, MinXiao Han, Zhongdong Yin. 1838-1840 [doi]
- A novel approach to eliminate zero-sequence harmonics currentQipeng Song, Zhongdong Yin, Chengxi Dai, Changyin Zhang, Weidong Shang, Zhonghua Huang. 1841-1845 [doi]
- Lessening zero sequence effects in dynamic voltage restorersZhi Zhang, Zhongdong Yin, Changyin Zhang, Weidong Shang, Zhonghua Huang. 1846-1851 [doi]
- Controlled slew rate enhancement circuit for error amplifier in high frequency DC-DC convertersChunming Zhang, ZhiBiao Shao. 1852-1855 [doi]
- Clock control strategy of four-phase Dickson charge pump for power efficiency improvementKai Yu, Xuecheng Zou, Dongsheng Liu, Guoyi Yu, Jianming Lei, Yue Chen. 1856-1859 [doi]
- Analysis of coupled inductors for low-ripple fast-response buck converterSanthos Ario Wibowo, Zhang Ting, Masashi Kono, Tetsuya Taura, Yasunori Kobori, Haruo Kobayashi. 1860-1863 [doi]
- Integrated controller for a 100 MHz DC-DC switching converterYang Lu, Sindhu Suresh, Dariusz Czarkowski. 1864-1867 [doi]
- Comprehensive power analysis and efficiency optimum design for step-up DC-DC convertersXin Liu, Feng Lu, Dong Qiao, Shuxu Guo, Yuchun Chang. 1868-1871 [doi]
- Design method for monolithic DC-DC converters based on the losses optimization of the power stageVitor Costa, Pedro M. Santos, Beatriz Borges. 1872-1875 [doi]
- Design of a green mode PWM control ICHung-Da Hsu, Tsorng-Juu Liang, Bin-Da Liu, Kai-Hui Chen. 1876-1879 [doi]
- SDPQ classification based on maximum similarity principle of S-transform MTFMXianyong Xiao, Wan Li, Honggeng Yang. 1880-1883 [doi]
- Design of a 10 kVA HTS transformer prototypeJianxun Jin, Xiaoyuan Chen. 1884-1887 [doi]
- HTS DC power transmission analysisJianxun Jin, Huang Qi. 1888-1891 [doi]
- HTS inductive magnetic energy storage with power control technologyJianxun Jin, Xiaoyuan Chen. 1892-1895 [doi]
- Decentralized coordinated robust adaptive control for AC/DC interconnected power systems based on WAMSXingyuan Li, Quan Yan, Yuying Hu. 1896-1899 [doi]
- Visualization tool for transient stability monitoring of bulk power systems based on DSRYuan Zeng, Wei Wei, Jinli Zhao, Hongjie Jia. 1900-1903 [doi]