Abstract is missing.
- Image search reranking with multi-latent topical graphJunge Shen, Tao Mei, Qi Tian, Xinbo Gao. 1-4 [doi]
- Context-dependent audio-visual and temporal features fusion for TV commercial detectionBo Zhang, Jiancheng Zou, Bo Xu. 5-8 [doi]
- Quality perception in 3D interactive environmentsAlexandre G. Ciancio, José F. L. de Oliveira, Felipe M. Lopes Ribeiro, Eduardo A. B. da Silva, Amir Said. 9-12 [doi]
- A gradient-based approach for interference cancelation in systems with multiple Kinect camerasSen Xiang, Li Yu, Qiong Liu, Zixiang Xiong. 13-16 [doi]
- Rate-Distortion Optimization for depth map coding with distortion estimation of synthesized viewLi Wang, Lu Yu. 17-20 [doi]
- Model and design considerations for multistage electrostatic microgeneratorsYin Li, Manjusri Misra, Stefano Gregori. 21-24 [doi]
- A self-sustaining integrated CMOS regulator for solar and UHF RFID energy harvesting systemsTsung-Heng Tsai, Bo-Han Song. 25-28 [doi]
- Maximizing efficiency through impedance matching from a circuit-centric model of non-radiative resonant wireless power transferElisenda Bou, Raymond Sedwick, Eduard Alarcón. 29-32 [doi]
- A reconfigurable micro power solar energy harvester for ultra-low power autonomous microsystemsNaser Khosro Pour, François Krummenacher, Maher Kayal. 33-36 [doi]
- Wide-range, reference free, on-chip voltage sensor for variable Vdd operationsDelong Shang, Fei Xia, Alex Yakovlev. 37-40 [doi]
- Multi layer based rate control algorithm for HEVCShanshe Wang, Siwei Ma, Li Zhang, Shiqi Wang, Debin Zhao, Wen Gao. 41-44 [doi]
- Early termination schemes for fast intra mode decision in High Efficiency Video CodingHao Zhang, Zhan Ma. 45-48 [doi]
- HEVC-based adaptive quantization for screen content by detecting low contrast edge regionsHong Zhang, Oscar C. Au, Yongfang Shi, Xingyu Zhang, Ketan Tang, Yuanfang Guo. 49-52 [doi]
- Fast HEVC intra mode decision using matching edge detector and kernel density estimation alike histogram generationGuang Chen, Zhenyu Liu, Takeshi Ikenaga, Dongsheng Wang. 53-56 [doi]
- View synthesis prediction using skip and merge candidates for HEVC-based 3D video codingFeng Zou, Dong Tian, Anthony Vetro. 57-60 [doi]
- A cognitive radio receiver front-end IC based on spread spectrum sensing techniqueZhang Ying, Ali Meaamar, Yuanjin Zheng. 61-64 [doi]
- DOA-estimation and source-localization in CR-networks using steerable 2-D IIR beam filtersChamith Wijenayake, Arjuna Madanayake, Len T. Bruton, Vijay Devabhaktuni. 65-68 [doi]
- Papoulis-Gerchberg Hybrid Filter Bank receiver for cognitive-/Software-Defined Radio systemsJosé Pedro Magalhães, Teofilo Monteiro, José M. N. Vieira, Roberto Gómez-Garcia, Nuno Borges Carvalho. 69-72 [doi]
- Variable increment step based reconfigurable interleaver for multimode communication applicationLiang Tang, Jude Angelo Ambrose, Sri Parameswaran. 73-76 [doi]
- A tunable inductance topology to realize frequency tunable matching networks and amplifiersDogu Cagdas Atilla, Cagatay Aydin, Ramazan Köprü, Tayfun Nesimoglu, B. Siddik Yarman. 77-80 [doi]
- FIR filter with variable fractional delay and phase shift: Efficient realization and design using reweighted l1-norm minimizationHåkan Johansson, Amir Eghbali. 81-84 [doi]
- Error spectrum shaping approach for lattice filter roundoff noise reductionYong Ching Lim, Chaogeng Huang, Gang Li, Hong Xu, Anthony G. Constantinides. 85-88 [doi]
- Design of two-dimensional notch filter using bandpass filter and fractional delay filterChien-Cheng Tseng, Su-Ling Lee. 89-92 [doi]
- Low-complexity general FIR filters based on Winograd's inner product algorithmOscar Gustafsson, Andreas Ehliar. 93-96 [doi]
- Sparse FIR filter design based on Genetic AlgorithmHeng Zhao, Wen Bin Ye, Ya Jun Yu. 97-100 [doi]
- Trends on the application of emerging nonvolatile memory to processors and programmable devicesLionel Torres, Raphael Martins Brum, Luis Vitório Cargnini, Gilles Sassatelli. 101-104 [doi]
- MTJ/MOS-hybrid logic-circuit design flow for nonvolatile logic-in-memory LSIMasanori Natsui, Takahiro Hanyu, Noboru Sakimura, Tadahiko Sugibayashi. 105-109 [doi]
- A comparative study of STT-MTJ based non-volatile flip-flopsTaehui Na, Kyungho Ryu, Jisu Kim, Seung-Hyuk Kang, Seong-Ook Jung. 109-112 [doi]
- MLC STT-RAM design considering probabilistic and asymmetric MTJ switchingYaojun Zhang, Lu Zhang, Yiran Chen. 113-116 [doi]
- Challenge of MTJ/MOS-hybrid logic-in-memory architecture for nonvolatile VLSI processorTakahiro Hanyu. 117-120 [doi]
- Design of a low-power pulse-triggered flip-flop with conditional clock techniqueGuang-Ping Xiang, Ji-Zhong Shen, Xue-Xiang Wu, Liang Geng. 121-124 [doi]
- A 32.4 μW RF front end for 2.4 GHz wake-up receiverYu-Lin Tsou, Nai-Chen Daniel Cheng, Christina F. Jou. 125-128 [doi]
- A Dynamic-Adjusting Threshold-Voltage Scheme for FinFETs low power designsXiaoxin Cui, Kaisheng Ma, Kai Liao, Nan Liao, Di Wu, Wei Wei, Rui Li, Dunshan Yu. 129-132 [doi]
- Near-/Sub-Vth process, voltage, and temperature (PVT) sensors with dynamic voltage selectionMing-Hung Chang, Shang-Yuan Lin, Pei-Chen Wu, Olesya Zakoretska, Ching-Te Chuang, Kuan-Neng Chen, Chen-Chao Wang, Kuo-Hua Chen, Chi-Tsung Chiu, Ho-Ming Tong, Wei Hwang. 133-136 [doi]
- Ultra-low-power high sensitivity spike detectors based on modified nonlinear energy operatorYang-Guo Li, Qingyun Ma, Mohammad Rafiqul Haider, Yehia Massoud. 137-140 [doi]
- A current-mode flash ADC for low-power continuous-time sigma delta modulatorsChang-Joon Park, Hemasundar Mohan Geddada, Aydin I. Karsilayan, José Silva-Martínez, Marvin Onabajo. 141-144 [doi]
- A current mode 6-bit self-clocked tracking ADC with adaptive clock frequency for DC-DC convertersYan Huang, Horst Schleifer, Dirk Killat. 145-148 [doi]
- A frequency-folded ADC architecture with digital LO synthesisTravis Forbes, Wei-Gi Ho, Nan Sun, Ranjit Gharpurey. 149-152 [doi]
- A merged first and second stage for low power pipelined ADCChangyi Yang, Weitao Li, Fule Li, Zhihua Wang. 153-156 [doi]
- High-order multi-bit incremental converter with Smart-DEM algorithmYao Liu, Edoardo Bonizzoni, Franco Maloberti. 157-160 [doi]
- A configurable multi-band GNSS receiver for Compass/GPS/Galileo applicationsZhong Zhang, Weimin Li, Wu Wen, Wei Wu, Yongfeng Li. 161-164 [doi]
- A spur cancellation technique for MDLL-based frequency synthesizersGiovanni Marzin, Andrea Fenaroli, Giovanni Marucci, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita. 165-168 [doi]
- A wide bandwidth fractional-N synthesizer for LTE with phase noise cancellation using a hybrid-ΔΣ-DAC and charge re-timingDawei Ye, Ping Lu, Pietro Andreani, Ronan A. R. van der Zee. 169-172 [doi]
- 3 DCO noiseGiovanni Marucci, Salvatore Levantino, Paolo Maffezzoni, Carlo Samori. 173-176 [doi]
- Phase-locked loop based PWM wireless transmitterJingxue Lu, Ranjit Gharpurey. 177-180 [doi]
- A highly linear, Sigma-Delta based, sub-Hz high-pass filtered ExG readout systemRachit Mohan, Senad Hiseni, Wouter A. Serdijn. 181-184 [doi]
- REFET replication for ISFET-based SNP detection arraysMohammadreza Sohbati, Pantelis Georgiou, Christofer Toumazou. 185-188 [doi]
- A direct-capacitive feedback ISFET interface for pH reaction monitoringYuanqi Hu, Pantelis Georgiou. 189-192 [doi]
- A floating active inductor based CMOS cochlea filter with high tunability and sharp cut-offShiwei Wang, Thomas Jacob Koickal, Alister Hamilton, E. Mastropaolo, R. Cheung, Leslie S. Smith. 193-196 [doi]
- PLL-based high-speed demodulation of FM signals for real-time AFM applicationsBenedikt Schlecker, Maurits Ortmanns, Jens Anders, Georg Fantner. 197-200 [doi]
- Some fingerprints of ideal memristorsDalibor Biolek, Zdenek Biolek, Viera Biolkova, Zdenek Kolka. 201-204 [doi]
- PSpice switch-based versatile memristor modelAlon Ascoli, Ronald Tetzlaff, Fernando Corinto, Marco Gilli. 205-208 [doi]
- Composite memristance of parallel and serial memristor circuitsRam Kaji Budhathoki, Maheshwar Pd. Sah, Shyam Prasad Adhikari, Hyongsuk Kim. 209-212 [doi]
- Unified modeling for memristive devices based on charge-flux constitutive relationshipsLe Zheng, Sangho Shin, Sung-Mo Steve Kang. 213-216 [doi]
- Physics-based memristor modelsR. Stanley Williams, Matthew D. Pickett, John Paul Strachan. 217-220 [doi]
- A joint reconstruction algorithm for multi-view compressed imagingKan Chang, Tuanfa Qin, Wenbo Xu, Aidong Men. 221-224 [doi]
- Content based fast prediction unit quadtree depth decision algorithm for HEVCYongfang Shi, Oscar C. Au, Xingyu Zhang, Hong Zhang, Rui Ma, Luheng Jia. 225-228 [doi]
- Partial encryption by randomized zig-zag scanning for video encodingYongsheng Wang, Máire O'Neill, Fatih Kurugollu. 229-232 [doi]
- A parallel deblocking filter based on H.264/AVC video coding standardJiali Li, Oscar C. Au, Lu Fang, Lin Sun, Wenxiu Sun, Dinuka Soysa. 233-236 [doi]
- Rate-distortion optimized block classification and bit allocation in screen video compressionChao Pang, Oscar C. Au, Jingjing Fu, Yan Lu, Shipeng Li. 237-240 [doi]
- A hybrid MPPT method for Photovoltaic systems via estimation and revision methodJieming Ma, Ka Lok Man, T. O. Ting, Nan Zhang, Chi-Un Lei, Ngai Wong. 241-244 [doi]
- Low-cost global MPPT scheme for Photovoltaic systems under partially shaded conditionsJieming Ma, Ka Lok Man, T. O. Ting, Nan Zhang, Chi-Un Lei, Ngai Wong. 245-248 [doi]
- Distributed control of reactive power from photovoltaic invertersSoumya Kundu, Ian A. Hiskens. 249-252 [doi]
- Battery-assisted and photovoltaic-sourced switched-inductor CMOS harvesting charger-supplyRajiv Damodaran Prabha, Gabriel A. Rincón-Mora. 253-256 [doi]
- Low-complexity feedback-channel-free distributed video coding with enhanced classifierYuh-Jiun Wang, Szu-Lu Hsu, Teng-Yuan Cheng, Chia-han Lee, Shao-Yi Chien. 257-260 [doi]
- Multiple description coding with randomly offset quantizersLili Meng, Jie Liang, Upul Samarawickrama, Yao Zhao, Huihui Bai, André Kaup. 261-264 [doi]
- Improved hierarchial intra prediction based on adaptive interpolation filtering for lossless compressionLi-li Wang, Wan-Chi Siu. 265-268 [doi]
- Region-based weighted prediction algorithm for H.264/AVC video codingSik-Ho Tsang, Tsz-Kwan Lee, Yui-Lam Chan, Wan-Chi Siu. 269-272 [doi]
- Content-aware write reduction mechanism of phase-change RAM based Frame Store in H.264 Video codec systemSanchuan Guo, Zhenyu Liu, Guohong Li, Dongsheng Wang. 273-276 [doi]
- Performance of a multiple-access DCSK-CC system over Nakagami-m fading channelsYi Fang, Lin Wang, Guanrong Chen. 277-280 [doi]
- Forward error correction with RaptorQ code on GPULinjia Hu, Saeid Nooshabadi, Todor Mladenov. 281-284 [doi]
- Design and implementation of an ML decoder for tail-biting convolutional codesFarhan Bin Khalid, Shahid Masud, Momin Uppal. 285-288 [doi]
- Fully integrated passive UHF RFID transponder IC with a sensitivity of -12 dBmJinpeng Shen, Xin'an Wang, Bo Wang, Shan Liu, Shoucheng Li, Zhengkun Ruan, Xiangrong Zhang, Ying Cao. 289-292 [doi]
- Hardware acceleration of the robust header compression (RoHC) algorithmMohammed Al-Obaidi, Harshavardhan Kittur, Håkan Andersson, Viktor Öwall. 293-296 [doi]
- A detection method of nasalised vowels based on an acoustic parameter derived from phase spectrumCelia Shahnaz, Shamima Najnin, Shaikh Anowarul Fattah, Wei-Ping Zhu, M. Omair Ahmad. 297-300 [doi]
- Improved structural similarity measurement for vocal signalsWei-Sheng Lai, Chi-Jung Tseng, Jian-Jiun Ding. 301-304 [doi]
- Auditory features based on Gammatone filters for robust speech recognitionJun Qi, Dong Wang, Yi Jiang, Runsheng Liu. 305-308 [doi]
- Evaluation of detailed modeling of the LP residual in statistical speech synthesisJani Nurminen, Hanna Silén, Elina Helander, Moncef Gabbouj. 313-316 [doi]
- 2 45nm CMOS ADC based on process-insensitive amplifiersTai-Ji An, Jun-Sang Park, Yong-Min Kim, Suk-Hee Cho, Gil-Cho Ahn, Seung-Hoon Lee. 316 [doi]
- Potential and pitfalls of silicon photonics computing and interconnectSébastien Le Beux, Ian O'Connor, Zhen Li, Xavier Letartre, Christelle Monat, Jelena Trajkovic, Gabriela Nicolescu. 317-320 [doi]
- System-level analysis of mesh-based hybrid optical-electronic network-on-chipYaoyao Ye, Xiaowen Wu, Jiang Xu, Mahdi Nikdast, Zhehui Wang, Xuan Wang, Zhe Wang. 321-324 [doi]
- MHYNESYS II: Multi-stage hybrid Network on chip synthesis for Next Generation 3D IC ManycoreOmar Hammami, Khawla Hamwi. 325-328 [doi]
- Recent advances in optical Reservoir ComputingFrançois Duport, Anteo Smerieri, Yvan Paquot, Bendix Schneider, Joni Dambre, Benjamin Schrauwen, Marc Haelterman, Serge Massar. 333-336 [doi]
- Enabling Near-Threshold Voltage(NTV) operation in Multi-VDD cache for power reductionYinhe Han, Ying Wang, Huawei Li, Xiaowei Li 0001. 337-340 [doi]
- Low complexity image rejection demodulator for bluetooth LE applicationsYe Zhang, Ralf Wunderlich, Stefan Heinen. 341-344 [doi]
- Power optimization in a parallel multiplier using voltage islandsSeok Won Heo, Suk Joong Huh, Milos D. Ercegovac. 345-348 [doi]
- A low power register file with asynchronously controlled read-isolation and software-directed write-discardingZheng Yu, Jiajie Zhang, Xueqiu Yu, Xiaoyang Zeng, Zhiyi Yu. 349-352 [doi]
- Low power sub-threshold asynchronous QDI Static Logic Transistor-level Implementation (SLTI) 32-bit ALUWeng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang. 353-356 [doi]
- Mitigating timing errors in time-interleaved ADCs: A signal conditioning approachAbhishek Ghosh, Sudhakar Pamarti. 357-360 [doi]
- A 5-bit 1.5GSps calibration-less binary search ADC using threshold reconfigurable comparatorsTaimur Gibran Rabuske, Fabio Gibran Rabuske, Jorge R. Fernandes, Cesar Ramos Rodrigues. 365-368 [doi]
- Rigorous analysis of quantization error of an A/D converter based on β-mapTakaki Makino, Yukiko Iwata, Yutaka Jitsumatsu, Masao Hotta, Hao San, Kazuyuki Aihara. 369-372 [doi]
- A continuous-time VCO-assisted VCO-based ΣΔ modulator with 76.6dB SNDR and 10MHz BWYun Du, Tao He, Yang Jiang, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. 373-376 [doi]
- Linearization of subthreshold low-noise amplifiersChun-hsiang Chang, Marvin Onabajo. 377-380 [doi]
- Frequency compensation of high-speed, low-voltage CMOS multistage amplifiersSyed Ahmed Aamir, Prakash Harikumar, J. Jacob Wikner. 381-384 [doi]
- 1V rail-to-rail constant Gm amplifier with common-mode elimination techniqueBoram Lee, Ted Higman. 385-388 [doi]
- An inductorless wideband low noise amplifier with current reuse and linearity enhancementChuan Qin, Lei Zhang, Yan Wang, Zhiping Yu, Dajie Zeng. 389-392 [doi]
- A current-mirror opamp with switchable transconductances for low-power switched-capacitor integratorsMostafa A. N. Haroun, Anas A. Hamoui. 393-396 [doi]
- A multi-channel multi-mode physiological signals acquisition and analysis platformSheng-Cheng Lee, Tsan-Jieh Chen, Herming Chiueh. 397-400 [doi]
- A bidirectional neural interface with a HV stimulator and a LV neural amplifierUlrich Bihr, Thomas Ungru, Hongcheng Xu, Jens Anders, Joachim Becker, Maurits Ortmanns. 401-404 [doi]
- A 1.83 μW, 0.78 μVrms input referred noise neural recording front endJiangchao Wu, Man Kay Law, Pui-In Mak, Rui Paulo Martins. 405-408 [doi]
- A current-mode stimulator circuit with two-step charge balancing background calibrationAnh-Tuan Do, Yung Sern Tan, Gordon M. Xiong, Cleo Choong, Zhi-Hui Kong, Kiat Seng Yeo. 409-412 [doi]
- A VLSI design of singular value decomposition processor used in real-time ICA computation for multi-channel EEG systemKuan-Ju Huang, Wei-Yeh Shih, Jui-Chieh Liao, Wai-Chi Fang. 413-416 [doi]
- Memristor-based neural circuitsFernando Corinto, Alon Ascoli, Sung-Mo Steve Kang. 417-420 [doi]
- Features of memristor emulator-based artificial neural synapsesMaheshwar Pd. Sah, Changju Yang, Ram Kaji Budhathoki, Hyongsuk Kim. 421-424 [doi]
- Temporal processing with volatile memristorsRadu Berdan, Themistoklis Prodromakis, Ali Khiat, Iulia Salaoru, Christofer Toumazou, F. Perez-Diaz, E. Vasilaki. 425-428 [doi]
- Analysis of multi-memristor circuitsUte Feldmann, Torsten Schmidt, Ronald Tetzlaff. 429-432 [doi]
- A fast rate adaptation scheme for SVC based on the packet dependenciesMaodong Li, Zhenzhong Chen, Seong-Ping Chuah, Yap-Peng Tan. 433-436 [doi]
- Lifetime elongation of event-driven wireless video sensor networksJeonghoon Jang, Giwon Kim, Chong-Min Kyung. 437-440 [doi]
- Adaptive mode and modulation coding switching scheme in MIMO multicasting systemXiang Chen, Jenq-Neng Hwang, Po-Han Wu, Hsuan-Jung Su, Chung-Nan Lee. 441-444 [doi]
- A collusion-free key assignment scheme for hierarchical access control using recursive hash chainsShoko Imaizumi. 445-448 [doi]
- Optimal resource allocation for multimedia application providers in multi-site cloudXiaoming Nan, Yifeng He, Ling Guan. 449-452 [doi]
- Identifying groups of preventive controls for a set of critical contingencies in the context of voltage stabilityMoussa Reda Mansour, Luís F. C. Alberto, Rodrigo A. Ramos, Alexandre C. B. Delbem. 453-456 [doi]
- Practical stability assessement of distributed synchronous generators under load variationsRoman Kuiava, Rodrigo A. Ramos, Luís F. C. Alberto, Hemanshu Roy Pota. 457-460 [doi]
- Saddle-node bifurcation in three-phase unbalanced distribution networks with distributed generatorsYan-Feng Jiang, Hsiao-Dong Chiang. 461-464 [doi]
- BCS: A Binary Cuckoo Search algorithm for feature selectionDouglas Rodrigues, Luis A. M. Pereira, T. N. S. Almeida, João Paulo Papa, André N. Souza, Caio C. O. Ramos, Xin-She Yang. 465-468 [doi]
- A set of independent admittance bases for decoupled analysis of unbalanced three-phase systemsZhen Li, Siu Chung Wong, Chi Kong Tse. 469-472 [doi]
- Acceleration of rate-distortion optimized quantization for H.264/AVCTsung-Yau Huang, Chieh-Kai Kao, Homer H. Chen. 473-476 [doi]
- QP refinement according to Lagrange multiplier for High Efficiency Video CodingBin Li, Jizheng Xu, Dong Zhang, Houqiang Li. 477-480 [doi]
- Rate-distortion optimization with adaptive weighted distortion in high Efficiency Video CodingBin Li, Jizheng Xu, Houqiang Li. 481-484 [doi]
- Low-complexity content-adaptive Lagrange multiplier decision for SSIM-based RD-optimized video codingPinghua Zhao, Yanwei Liu, Jinxia Liu, Ruixiao Yao, Song Ci, Hui Tang. 485-488 [doi]
- Perceptual rate distortion optimization for block mode selection in hybrid video codingChen-Chou Huang, Hsu-Feng Hsiao. 489-492 [doi]
- Optimizations for an efficient reconfiguration of an ASIP-based turbo decoderVianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noel Bazin, Michael Hübner. 493-496 [doi]
- CMOS receiver with equalizer and CDR for short-reach optical communicationsCarlis Sanchez-Azqueta, C. Gimeno, Concepción Aldea, Santiago Celma, Cristina Azcona. 497-500 [doi]
- A highly-efficient multi-band multi-mode digital quadrature transmitter with 2D pre-distortionHua Wang, C. H. Peng, Chao Lu, Yaopei Chang, Richard Huang, Andy Chang, Genie Shih, Ray Hsu, Paul C. P. Liang, SangWon Son, Ali Niknejad, George Chien, C. L. Tsai, H. C. Hwang. 501-504 [doi]
- A high throughput ASIC design for IPv6 routing lookup systemYi-Mao Hsiao, Yuan-Sun Chu, Chao-Yang Chang, Chung-Hsun Huang, Hsi-Hsun Yeh. 505-508 [doi]
- A 5.8GHz integrated CMOS transmitter for Chinese electronic toll collection systemJian Shi, Shimao Xiao, Yunfeng Yu, Wei Huang, Wenguang Pan, Tianchun Ye, Min Qian, Xiaofeng He, Chengyan Ma. 509-512 [doi]
- Thresholding-based online algorithms of complexity comparable to sparse LMS methodsYannis Kopsinis, Konstantinos Slavakis, Sergios Theodoridis, Stephen McLaughlin. 513-516 [doi]
- New constrained affine-projection adaptive-filtering algorithmMd. Zulfiquar Ali Bhotto, Andreas Antoniou. 517-520 [doi]
- New proportionate affine projection sign algorithmsFelix Albu, H. K. Kwan. 521-524 [doi]
- Special properties of the modified DFT to achieve algorithmic fault tolerance in Adaptive FiltersW. Kenneth Jenkins, Chandrasekhar Radhakrishnan, D. Sova. 525-528 [doi]
- Acoustic feedback neutralization in digital hearing aids - A two adaptive filters-based solutionMuhammad Tahir Akhtar, Akinori Nishihara. 529-532 [doi]
- Cyber-physical management for heterogeneously integrated 3D thousand-core on-chip microprocessorSai Manoj Pudukotai Dinakarrao, Hao Yu. 533-536 [doi]
- 3D stacking for multi-core architectures: From WIDEIO to distributed cachesFabien Clermidy, Denis Dutoit, Eric Guthmuller, Ivan Miro Panades, Pascal Vivet. 537-540 [doi]
- Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutionsDa-Cheng Juan, Siddharth Garg, Diana Marculescu. 541-544 [doi]
- Exploring early design tradeoffs in 3DICPaul D. Franzon, Shivam Priyadarshi, Steve Lipa, W. Rhett Davis, Thorlindur Thorolfsson. 545-549 [doi]
- An inductive-coupling interconnected application-specific 3D NoC designZhen Zhang, Shouyi Yin, Leibo Liu, Shaojun Wei. 550-553 [doi]
- FDSOI versus BULK CMOS at 28 nm node which technology for ultra-low power design?Jani Mäkipää, Olivier Billoint. 554-557 [doi]
- A process-variation compensation scheme to operate CMOS digital logic cells in deep sub-threshold region at 80mVRobert Kappel, Mario Auer, Wolfgang Pribyl, Günter Hofer, Gerald Holweg. 562-565 [doi]
- Ultra low power NEMFET based logicMarius Enachescu, Mihai Lefter, Antonios Bazigos, Adrian M. Ionescu, Sorin Dan Cotofana. 566-569 [doi]
- Minimum energy point tracking for sub-threshold digital CMOS circuits using an in-situ energy sensorNandish Mehta, Kofi A. A. Makinwa. 570-573 [doi]
- A DAC cell with improved ISI and noise performance using native switching for multi-bit CT Delta Sigma modulatorsJohn G. Kauffman, Rudolf Ritter, Chao Chu, Maurits Ortmanns. 574-577 [doi]
- Modeling and analysis of aliasing image spurs problem in digital-RF-converter-based IQ modulatorsM. Reza Sadeghifar, J. Jacob Wikner. 578-581 [doi]
- Background adaptive linearization of high-speed digital-to-analog ConvertersAndrea Fenaroli, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita. 582-585 [doi]
- A low power 1-MHz continuous-time ΣΔM Using a passive loop filter designed with a genetic algorithm toolJoão L. A. de Melo. 586-589 [doi]
- A distortion corrected passive RC noise shaping ADC for biomedical applicationsLuis Hernández, Enrique Prefasi, Susanna Patón. 590-593 [doi]
- A switched gain cell parametric amplifierChutham Sawigun, Amorn Jiraseree-amornkun. 594-597 [doi]
- A design methodology to achieve low input impedance and non-constant gain-bandwidth product in TIAs for optical communicationSeungwoo Jung, John D. Cressler, Jeff A. Babcock, Greg Cestra, Alan Buchholz. 598-601 [doi]
- A 94% efficiency near-constant frequency self-oscillating class-D audio amplifier with voltage control resistorShao Siang Ng, Kuei-Liang Lin, Ke-Horng Chen, Yu-Wen Chen. 602-605 [doi]
- A fully differential CMOS self-biased two-stage preamplifier-latch threshold detection comparatorVladimir Milovanovic, Horst Zimmermann. 606-609 [doi]
- RF current mode class-D power amplifiers under periodic and non-periodic switching conditionsSadegh Abbasian, Thomas Johnson. 610-613 [doi]
- Control methodology for on-chip switching power supplies for biomedical implantsMohammad Abu Khater, Serkan Sayilir, Byunghoo Jung. 614-617 [doi]
- A 2-MHZ, process and voltage compensated clock oscillator for biomedical implantable SoC in 0.18-μm CMOSHansraj Bhamra, Pedro Irazoqui. 618-621 [doi]
- A 281-nW 43.3 fJ/conversion-step 8-ENOB 25-kS/s asynchronous SAR ADC in 65nm CMOS for biomedical applicationsChao Yuan, Yvonne Y. H. Lam. 622-625 [doi]
- A low power BPSK demodulator for wireless implantable biomedical devicesBenjamin P. Wilkerson, Jin-Ku Kang. 626-629 [doi]
- Wireless Power Transfer H-Bridge design with serial resonance and varying supply voltageSebastian Rickers, Mohammad Elikaee, Zijian Bai, Christian Kocks, Guido Horst Bruck, Peter Jung. 630-633 [doi]
- Challenges in circuits for visual prosthesesJyun-Ting Chen, Kea-Tiong Tang, Guoxing Wang. 634-637 [doi]
- A multi-channel neural stimulator with resonance compensated inductive receiver and closed-loop smart power managementHongcheng Xu, Ulrich Bihr, Joachim Becker, Maurits Ortmanns. 638-641 [doi]
- A CMOS microchip-based retinal prosthetic device for large numbers of stimulation in wide areaJun Ohta, Toshihiko Noda, Kiyotaka Sasagawa, Takashi Tokuda, Yasuo Terasawa, Hironari Kanda, Takashi Fujikado. 642-645 [doi]
- Capacitive-data links, energy-efficient and high-voltage compliant visual intracortical microstimulation systemMd. Hasanuzzaman, Guillaume Simard, Nedialko I. Krouchev, Rabin Raut, Mohamad Sawan. 646-649 [doi]
- Quality control of real-time panoramic views from the smart camera 360SCANRoman Graf, Ahmed Nabil Belbachir, Ross King, Manfred Mayerhofer. 650-653 [doi]
- TURNUS: A design exploration framework for dataflow system designSimone Casale Brunet, Marco Mattavelli, Jörn W. Janneck. 654 [doi]
- A low-cost scalable Voltage-Frequency Adjustor for implementing low-power systemsChing-Hwa Cheng, Sheng-Wei Hsu, Jiun-In Guo. 655-658 [doi]
- Live demonstration: Real-time audio restoration using sparse signal recoveryDavid E. Bellasi, Patrick Maechler, Andreas Burg, Norbert Felber, Hubert Kaeslin, Christoph Studer. 659 [doi]
- Live demonstration: High level software and hardware synthesis of dataflow programsEndri Bezati, Ghislain Roquier, Marco Mattavelli. 660 [doi]
- Live demonstration: Axon emulator for evaluation of nerve recording systemsSheng-Chih Chuang, Wan-Ting Lin, Robert Rieger. 661 [doi]
- Unipolar ECG circuits: Towards more precise cardiac event identificationGaetano D. Gargiulo, Jonathan Tapson, André van Schaik, Alistair Lee McEwan, Aravinda Thiagalingam. 662-665 [doi]
- Live demonstration: Multiple-timescale plasticity in a neuromorphic systemChristian Mayr, Johannes Partzsch, Marko Noack, René Schüffny. 666-670 [doi]
- Live demonstration: A high-speed-pass asynchronous motion detection sensorXiangyu Zhang, Shoushun Chen. 671 [doi]
- Live demonstration: A wireless force measurement system for total knee arthroplastyHong Chen, Chun Zhang, Zhihua Wang. 672 [doi]
- A high-throughput VLSI architecture for deblocking filter in HEVCWeiwei Shen, Qing Shang, Sha Shen, Yibo Fan, Xiaoyang Zeng. 673-676 [doi]
- Fully pipelined DCT/IDCT/Hadamard unified transform architecture for HEVC CodecJia Zhu, Zhenyu Liu, Dongsheng Wang. 677-680 [doi]
- A custom GZIP decoder for DTV applicationKe Zhu, Wei-Dong Liu, Jiang Du. 681-684 [doi]
- Memory-efficient scalable video encoder architecture for multi-source digital home environmentTsung-Han Tsai, Zong-Hong Li, Hsueh-Yi Lin, Li-Yang Huang. 685-688 [doi]
- A 34.1fps scale-space processor with two-dimensional cache for real-time object recognitionYouchang Kim, Junyoung Park, Hoi-Jun Yoo. 689-692 [doi]
- High-side NMOS power switch and bootstrap driver for high-frequency fully-integrated converters with enhanced efficiencyCheng Huang, Lin Cheng, Philip K. T. Mok, Wing-Hung Ki. 693-696 [doi]
- Analysis and design of three-state controlled transition mode for a buck-boost converter with efficiency and stability enhancementXiaohao Hu, Philip K. T. Mok. 697-700 [doi]
- A series of exponential step-down switched-capacitor converters and their applications in two-stage convertersSong Xiong, Siu Chung Wong, Siew-Chong Tan. 701-704 [doi]
- Design of reliable 2×VDD and 3×VDD series-parallel charge pumps in nanoscale CMOSYongtao Geng, Dongsheng Ma. 705-708 [doi]
- A fully integrated switched-capacitor based PMU with adaptive energy harvesting technique for ultra-low power sensing applicationsSuyoung Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Gyouho Kim, David Blaauw, Dennis Sylvester. 709-712 [doi]
- A new multi-view articulated human motion tracking algorithm with improved silhouette extraction and view adaptive fusionZhong Liu, K. T. Ng, Shing-Chow Chan, Xiao-Wei Song. 713-716 [doi]
- Object segmentation from wide baseline videoChunhui Cui, Qian Zhang, King Ngi Ngan. 717-720 [doi]
- LSGP: Line-SIFT Geometric Pattern for wide-baseline image matchingHeng Liu, Xuejin Chen, Jiawei Zhang, Zhefu Tu. 721-724 [doi]
- A new finger touch detection algorithm and prototype system architecture for pervasive bare-hand human computer interactionZhong Lv, Yi Xu, Guolin Li, Xiang Xie, Jun Hu, Wei Song, Zhihua Wang. 725-728 [doi]
- Image enhancement for fringe projection profilometryWilliam Wai-Lam Ng, Daniel Pak-Kong Lun. 729-732 [doi]
- A 65nm CMOS wide-band LNA with continuously tunable gain from 0dB to 24dBJohannes Sturm, Xinbo Xiang, Harald Pretl. 733-736 [doi]
- Low power, high linearity multi-mode downconversion mixer for SDRYexin Chen, Na Yan, Jianfei Xu, Qiang Chen, Jie Sun. 737-740 [doi]
- Multi-band wide tuning range CMOS VCO with Hybrid Inductor for LTE standardSeonghan Ryu, In-Chul Hwang, Ara Cho, Sangyub Lee. 741-744 [doi]
- An adaptive class-E power amplifier with improvement in efficiency, reliability and process variation toleranceAritra Banerjee, Abhijit Chatterjee. 745-748 [doi]
- SAW-less GNSS front-end amplifier with 80.4-dB GSM blocker suppression using CMOS directional coupler notch filterYongan Zheng, Le Ye, Long Chen, Huailin Liao, Ru Huang. 749-752 [doi]
- Single underwater image enhancement with a new optical modelHaocheng Wen, YongHong Tian, Tiejun Huang, Wen Gao. 753-756 [doi]
- Image enlargement using the giga-vision sensor modelGuang Deng. 757-760 [doi]
- Fuzzy logic and additive wavelet based image fusionSyed Sohaib Ali, Muhammad Mohsin Riaz, Abdul Ghafoor. 761-764 [doi]
- Hybrid image interpolation with soft-decision kernel regressionJing Liu, Xiaokang Yang, Guangtao Zhai, Li Chen. 765-768 [doi]
- Scan-controlled pulse flip-flops for mobile application processorsMin-Su Kim, Hyoungwook Lee, Jin Soo Park, Chunghee Kim, Juhyun Kang, Ken Shin, Emil Kagramanyan, Gunok Jung, Ukrae Cho, Youngmin Shin, Jae Cheol Son. 769-772 [doi]
- Efficient in situ error detection enabling diverse path coverageChia-Hsiang Chen, Yaoyu Tao, Zhengya Zhang. 773-776 [doi]
- Implementation of hybrid version management in hardware transactional memoryLihang Zhao, Jeff Draper. 777-780 [doi]
- Redefining the relationship between scalar and parallel units in SIMD architecturesYaohua Wang, Shuming Chen, Jianghua Wan, Kai Zhang. 781-784 [doi]
- Collaborative error control method for sequential logic circuitsQiaoyan Yu, Drew Stock. 785-788 [doi]
- Logic-on-logic partitioning techniques for 3-dimensional integrated circuitsNeela Gopi, Jeffrey Draper. 789-792 [doi]
- A SC/HSI dual-mode baseband receiver with frequency-domain equalizer for IEEE 802.15.3cWei-Chang Liu, Fu-Chun Yeh, Ting-Chen Wei, Ya-Shiue Huang, Tai-Yang Liu, Shen-Jui Huang, Ching-Da Chan, Shyh-Jye Jou, Sau-Gee Chen. 793-796 [doi]
- An efficient VLSI architecture of QPP interleaver/deinterleaver for LTE turbo codingArash Ardakani, Mojtaba Mahdavi, Mahdi Shabany. 797-800 [doi]
- Microchannel splitting and scaling for thermal balancing of liquid-cooled 3DICHanhua Qian, Chip-Hong Chang. 801-804 [doi]
- Modelling NEM relays for digital circuit applicationsSunil Rana, Tian Qin, Dinesh Pamunuwa, Daniel Grogg, Michel Despont, Yu Pu, Christoph Hagleitner. 805-808 [doi]
- A voltage scaling 0.25-1.8 V delta-sigma modulator with inverter-opamp self-configuring amplifierKentaro Yoshioka, Yosuke Toyama, Teruo Jyo, Hiroki Ishikuro. 809-812 [doi]
- A 1-V 100-dB dynamic range 24.4-kHz bandwidth delta-sigma modulatorChia-Ling Chang, Jieh-Tsorng Wu. 813-816 [doi]
- A low-power, ultra low-offset, 16.5-bit, ΣΔ ADC for coulomb counting and fuel gauge applicationsJose Luis Ceballos, Christian Reindl. 817-820 [doi]
- Design of a third-order ΣΔ modulator with minimum op-amps output swingOscar Belotti, Edoardo Bonizzoni, Franco Maloberti. 821-824 [doi]
- An empirical and statistical comparison of state-of-the-art sigma-delta modulatorsJose M. de la Rosa. 825-828 [doi]
- Mathematical analysis of inter-band intermodulation for concurrent dual-band mixersYifei Li, Nathan M. Neihart. 829-832 [doi]
- Nonlinearity analysis of R-2R ladder-based current-steering digital to analog converterChun-Chieh Chen, Nan-Ku Lu. 833-836 [doi]
- A fully integrated video digital-to-analog converter with minimized gain errorTao Zhang, Qiaoyan Yu. 837-840 [doi]
- Two-stage charge sensitive amplifier with self-biased MOS transistor as continuous reset systemYacong Zhang, Xiaolu Chen, Zhongjian Chen, Wengao Lu. 841-844 [doi]
- A SiGe 8-channel comparator for application in a synthetic aperture radiometerE. Ryman, S. Back Andersson, J. Riesbeck, S. Dejanovic, A. Emrich, Per Larsson-Edefors. 845-848 [doi]
- A 1.52 uJ/classification patient-specific seizure classification processor using Linear SVMMuhammad Awais Bin Altaf, Jerald Yoo. 849-852 [doi]
- Similarity-index early seizure detector VLSI architectureAmogh Vidwans, Karim Abdelhalim, Roman Genov. 853-856 [doi]
- Low-power multi-processor system architecture design for universal biomedical signal processingLi-Fang Cheng, Tung-Chien Chen, Liang-Gee Chen. 857-860 [doi]
- Reconfigurable biological signal co-processor for feature extraction dedicated to implantable biomedical microsystemsSedigheh Razmpour, Amir M. Sodagar, Milad Faizollah, Mohammad Y. Darmani, Morteza Nourian. 861-864 [doi]
- System level model for transcutaneous optical telemetric linkTianyi Liu, Jens Anders, Maurits Ortmanns. 865-868 [doi]
- Mobile media communication, processing, and analysis: A review of recent advancesWen Gao, Ling-Yu Duan, Jun Sun 0007, Junsong Yuan, Yonggang Wen, Yap-Peng Tan, Jianfei Cai, Alex C. Kot. 869-872 [doi]
- Effective retargeting for image codingTingxiao Hu, Bo Yan. 873-876 [doi]
- Inter-screen interaction for session recognition and transfer based on cloud centric media networkYichao Jin, Xiao Liu, Yonggang Wen, Jianfei Cai. 877-880 [doi]
- Mobile multimedia travelogue generation by exploring geo-locations and image tagsShuhui Jiang, Xueming Qian, Ke Lan, Lei Zhang, Tao Mei. 881-884 [doi]
- Compact descriptors for mobile visual search and MPEG CDVS standardizationLing-Yu Duan, Feng Gao, Jie Chen 0006, Jie Lin, Tiejun Huang. 885-888 [doi]
- Efficient loop accelerator for Motion Estimation Specific Instruction-set ProcessorTae-Sun Kim, Myung Hoon Sunwoo, Sung Dae Kim. 889-892 [doi]
- Hardware implementation for real-time 3D rendering in 2D-to-3D conversionYeong-Kang Lai, Yu-Chieh Chung, Yu-Fan Lai. 893-896 [doi]
- Implementation of multi-standard video decoding algorithms on a coarse-grained reconfigurable multimedia processorLeibo Liu, Yingjie Chen, Shouyi Yin, Dong Wang, Xing Wang, Shaojun Wei, Li Zhou, Hao Lei, Peng Cao. 897-900 [doi]
- DRAM access reduction in GPUs by thread-block scheduling for overlapped data reuseSeungyeol Lee, Wonyong Sung. 901-904 [doi]
- Salient object cutout using Google imagesHongyuan Zhu, Jianfei Cai, Jianmin Zheng, Jianxin Wu, Nadia Magnenat-Thalmann. 905-908 [doi]
- 94% Performance improvement by time-shift control (TSC) technique in cloud computing voltage regulator module (VRM)Che-Hao Meng, Yi-Ping Su, Yu-Ping Huang, Yu-Huei Lee, Ke-Horng Chen. 909-912 [doi]
- Effect of MOSFET parasitic capacitances on EER transmitter with class-E amplifierXiuqin Wei, Tomoharu Nagashima, Hiroo Sekiya, Tadashi Suetsugu. 913-916 [doi]
- High-PF and ultra-low-THD power factor correction controller by sinusoidal-wave synthesis and optimized THD controlChih-Wei Chang, Chia-Lung Ni, Jen-Chieh Tsai, Yi-Ting Chen, Chun-Yen Chen, Ke-Horng Chen, Long-Der Chen, Cheng-Chen Yang. 917-920 [doi]
- Switching and conduction loss analysis of buck converters operating in DCM-only scenariosWei Fu, Siang Tong Tan, Ayman A. Fayed. 921-924 [doi]
- Bifurcation analysis in dual-input buck converter in hybrid power systemXiaoling Xiong, Chi K. Tse, Xinbo Ruan, Meng Huang. 925-928 [doi]
- Data-driven human motion synthesis based on angular momentum analysisPing Hu, Qi Sun, Xiangxu Meng, Jingliang Peng. 929-932 [doi]
- Visual masking estimation based on structural uncertaintyJinjian Wu, Weisi Lin, Guangming Shi. 933-936 [doi]
- Separation of weak reflection from a single superimposed image using gradient profile sharpnessQing Yan, Yi Xu, Xiaokang Yang. 937-940 [doi]
- A visual attention model for news videoBo Wu, Linfeng Xu, Guanghui Liu. 941-944 [doi]
- Saliency detection using a central stimuli sensitivity based modelLinfeng Xu, Hongliang Li, Liaoyuan Zeng, Zhengning Wang, Guanghui Liu. 945-949 [doi]
- An energy efficient antenna selection for large scale green MIMO systemsByung Moo Lee, JinHyeock Choi, Jongho Bang, Byung-Chang Kang. 950-953 [doi]
- A digital centric transmitter architecture with arbitrary ratio baseband-to-LO upsamplingJan Henning Mueller, Bastian Mohr, Ye Zhang, Renato Negra, Stefan Heinen. 954-957 [doi]
- Reconfigurable feeding network for GSM/GPS/3G/WiFi and global LTE applicationsWei Zhou, Tughrul Arslan, Khaled Benkrid, Ahmed O. El-Rayis, Nakul Haridas. 958-961 [doi]
- A half rate CDR with DCD cleaning up and quadrature clock calibration for 20Gbps 60GHz communication in 65nm CMOSXiaobao Yu, Baoyong Chi, Meng Wei, Albert Z. Wang, Tianling Ren, Zhihua Wang. 962-965 [doi]
- Design of self-biased fully differential receiver and crosstalk cancellation for capacitive coupled vertical interconnects in 3DICsMyat Thu Linn Aung, Eric Lim, Takefumi Yoshikawa, Tony T. Kim. 966-969 [doi]
- A novel region merging based image segmentation approach for automatic object extractionLin Zha, Zhi Liu, Shuhua Luo, Liquan Shen. 970-973 [doi]
- HTS: A new shape descriptor based on Hough TransformGustavo Botelho de Souza, Aparecido Nilceu Marana. 974-977 [doi]
- Gradient Local Binary Patterns for human detectionNing Jiang, Jiu Xu, Wenxin Yu, Satoshi Goto. 978-981 [doi]
- Segmenting specific object based on logo detectionFanman Meng, Hongliang Li, Guanghui Liu. 986-989 [doi]
- A novel implementation scheme for high area-efficient DCT based on signed stochastic computationYan Li, JianHao Hu. 990-993 [doi]
- Optimization of ETSI DSR frontend software on a high-efficient audio DSPZhenqi Wei, Peilin Liu, Cun Yu, Hongbin Zhou, Ying Ye, Ji Kong, Rendong Ying. 994-997 [doi]
- A high-throughput low-latency arithmetic encoder design for HDTVYuan Li, Shanghang Zhang, Huizhu Jia, Xiaodong Xie, Wen Gao. 998-1001 [doi]
- A 32.8mW 60fps cortical vision processor for spatio-temporal action recognitionSeongwook Park, Junyoung Park, Injoon Hong, Hoi-Jun Yoo. 1002-1005 [doi]
- A reconfigurable inverse transform architecture design for HEVC decoderPai-Tse Chiang, Tian-Sheuan Chang. 1006-1009 [doi]
- Cluster-based distributed active current timer for hardware Trojan detectionYuan Cao, Chip-Hong Chang, Shoushun Chen. 1010-1013 [doi]
- Robust random chip ID generation with wide-aperture clocked comparators and maximum likelihood detectionYunju Choi, Jaeha Kim. 1014-1017 [doi]
- A power-efficient scan tree design by exploring the Q'-D connectionLinfeng Chen, Aijiao Cui. 1018-1021 [doi]
- Development of hybrid electrical model for CNT based Through Silicon ViasKaushal Kannan, Sukeshwar Kannan, Bruce C. Kim, Sang-Bock Cho. 1022-1026 [doi]
- Concurrent estimation of amplifier nonidealities and excess loop delay in continuous-time sigma-delta modulatorsMatthias Lorenz, Timon Brückner, Rudolf Ritter, Maurits Ortmanns. 1031-1034 [doi]
- Direct delta-sigma receiver: Analysis, modelization and simulationMinh-Tien Nguyen, Chadi Jabbour, Cyrius Ouffoue, Rayan Mina, Florent Sibille, Patrick Loumeau, Pascal Triaire, Van Tam Nguyen. 1035-1038 [doi]
- A 1.7mW quadrature bandpass ΔΣ ADC with 1MHz BW and 60dB DR at 1MHz IFAytac Atac, Lei Liao, Yifan Wang, Martin Schleyer, Ye Zhang, Ralf Wunderlich, Stefan Heinen. 1039-1042 [doi]
- A multi-stage and time-based continuous time ΣΔ Architecture using a Gated Ring OscillatorJuan A. Torreño, Susanna Patón, Luis Hernández, Enrique Prefasi, M. Presicce, G. Paoli. 1043-1046 [doi]
- Approaches to the implementation of noise-coupling in continuous-time Delta-Sigma modulatorsChongjun Ding, Yiannos Manoli, Matthias Keller. 1047-1050 [doi]
- Analog signal processing solutions for particle physics detectors: Upgrade of the LHCb calorimeter electronicsDavid Gascon Fora, Eduardo Picatoste, Carlos Abellan Beteta, Eugeni Grauges, L. Garrido, X. Vilasis-Cardona, F. Machefert, O. Duarte, J. Lefrancois. 1051-1054 [doi]
- A compact analog active time delay line using SiGe BiCMOS technologyMohamed Hamouda, Georg Fischer, Robert Weigel, Thomas Ussmüller. 1055-1058 [doi]
- Design and analysis of full-chip HV ESD protection in BCD30V for mixed-signal ICsShijun Wang, Fai Yao, Li Wang, Rui Ma, C. Zhang, Z. Y. Dong, Albert Z. Wang, Zitao Shi, Yuhua Cheng, Baoyong Chi, Tianling Ren. 1059-1062 [doi]
- Reliability degradation with electrical, thermal and thermal gradient stress in interconnectsSrijita Patra, Degang Chen, Randy Geiger. 1063-1066 [doi]
- A simple analog CMOS design tool using transistor dimension-independent parametersOmar Abdelfattah, Ishiang Shih, Gordon W. Roberts. 1067-1070 [doi]
- A portable lab-on-chip platform for magnetic beads density measuringYushan Zheng, Cyril Jacquemod, Mohamad Sawan. 1071-1074 [doi]
- Characterization of standard CMOS compatible photodiodes and pixels for Lab-on-Chip devicesGozen Koklu, Ralph Etienne-Cummings, Yusuf Leblebici, Giovanni De Micheli, Sandro Carrara. 1075-1078 [doi]
- Human respiratory feature extraction on an UWB radar signal processing platformChi-Hsuan Hsieh, Yi-Hsiang Shen, Yu-Fang Chiu, Ta-Shun Chu, Yuan-Hao Huang. 1079-1082 [doi]
- Efficient implementation of real-time ECG derived respiration system using cubic spline interpolationAli Shayei, Seyed Pooya Ehsani, Mahdi Shabany. 1083-1086 [doi]
- A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devicesOh-Yong Jung, Seungjin Kim, Seok-Kyun Han, Sang-Gug Lee. 1087-1090 [doi]
- Overview of quality assessment for visual signals and newly emerged trendsLin Ma, Chenwei Deng, King N. Ngan, Weisi Lin. 1091-1094 [doi]
- A new reduced-reference image quality assessment using structural degradation modelKe Gu, Guangtao Zhai, Xiaokang Yang, Wenjun Zhang. 1095-1098 [doi]
- Paired comparison for subjective multimedia quality assessment: Theory and practiceJong-Seok Lee. 1099-1102 [doi]
- Adaptive contrast adjustment for postprocessing of tone mapped high dynamic range imagesManish Narwaria, Matthieu Perreira Da Silva, Patrick Le Callet, Romuald Pépion. 1103-1106 [doi]
- On the impact of packet-loss impairments on visual attention mechanismsJudith Redi, Ingrid Heynderickx, Bruno Macchiavello, Mylene Farias. 1107-1110 [doi]
- A transformer neutralization based 60GHz LNA in 65 nm LP CMOS with 22dB gain and 5.5dB NFAili Wang, Lianming Li, Tiejun Cui. 1111-1114 [doi]
- 12.5-Gb/s analog front-end of an optical transceiver in 0.13-μm CMOSDong Wook Kim, Hankyu Chi, Yu-Sang Chun, Myung-Heon Chin, Gyungock Kim, Deog Kyoon Jeong. 1115-1118 [doi]
- A 12-40 GHz low phase variation highly linear BiCMOS variable gain amplifierSuman Prasad Sah, Siqi Zhu, Tai N. Nguyen, Xinmin Yu, Deuk Hyoun Heo. 1119-1122 [doi]
- A 3.4dB NF k-band LNA in 65nm CMOS technologyJianfei Xu, Na Yan, Qiang Chen, Jianjun Gao, Xiaoyang Zeng. 1123-1126 [doi]
- Inductorless, powerl-proportional, optical receiver front-end in TSMC 90 nmPartha Protim Dash, Glenn Cowan, Odile Liboiron-Ladouceur. 1127-1130 [doi]
- A new, delay-line-discriminator-based, hybrid RF/digital phase noise cancellation techniqueSam Gharavi, Babak Daneshrad. 1131-1134 [doi]
- Multi-carrier LINC amplifier calibration by min-minimum criterionXinping Huang, Mario Caron. 1135-1138 [doi]
- Improving the error correction capability of arithmetic coding by forecasting forbidden symbolsQiuzhen Lin, Kwok-Wo Wong. 1139-1142 [doi]
- Novel adaptive soft input soft output demodulator for serially concatenated CPM signalsWenwen Wang, Saman S. Abeysekera. 1143-1146 [doi]
- Blind identifiability of general constellationsXu Wang, Ruey-Wen Liu, Fan He, Tao Yang, Bo Hu. 1147-1150 [doi]
- Channel estimation for MIMO-OFDM systems based on Subspace Pursuit algorithmEnqing Chen, Xiaoqiang Xiang, Xiaomin Mu. 1151-1154 [doi]
- Adaptive channel scheduling for Scalable Video broadcasting over MIMO wireless networksChao Zhou, Xinggong Zhang, Zongming Guo. 1155-1158 [doi]
- Performance analysis of transform in uncoded wireless visual communicationRuiqin Xiong, Feng Wu, Jizheng Xu, Wen Gao. 1159-1162 [doi]
- Hybrid digital-analog scheme for video transmission over wirelessLei Yu, Houqiang Li, Weiping Li. 1163-1166 [doi]
- Adaptive packet encapsulation of Scalable Video Coding bitstreamHaibo Zhu, Houqiang Li. 1167-1170 [doi]
- A 10-bit fast lock all-digital data recovery with CR oscillator reference for automotive networkHironobu Akita, Takahisa Yoshimoto, Hirofumi Yamamoto, Nobuaki Matsudaira, Shigeki Ohtsuka, Shinichirou Taguchi. 1171-1174 [doi]
- Analysis of a class of decimated clock/data recovery architectures for serial linksPervez M. Aziz, Amaresh V. Malipatil. 1175-1178 [doi]
- A PLL/DLL based CDR with ΔΣ frequency tracking and low algorithmic jitter generationShuli Geng, Ni Xu, Jun Li 0024, Xueyi Yu, Woogeun Rhee, Zhihua Wang. 1179-1182 [doi]
- A 0.7pJ/bit 2Gbps self-synchronous serial link receiver using gated-ring oscillator for inductive coupling communicationUnsoo Ha, Hyunwoo Cho, Hoi-Jun Yoo. 1183-1186 [doi]
- A fully-differential adaptive equalizer using the spectrum-balancing techniqueC. Gimeno, E. Guerrero, Concepción Aldea, Santiago Celma, Cristina Azcona. 1187-1190 [doi]
- Fast single frame super-resolution using scale-invariant self-similarityLuhong Liang, King Hung Chiu, Edmund Y. Lam. 1191-1194 [doi]
- Spatially-varying super-resolution for HDTVChih-Tsung Shen, Hung-Hsun Liu, Ming-Sui Lee, Yi-Ping Hung, Soo-Chang Pei. 1195-1198 [doi]
- Robust super-resolution for face images via principle component sparse representation and least squares regressionTao Lu, Ruimin Hu, Zhen Han, Junjun Jiang, Yang Xia. 1199-1202 [doi]
- Illumination-invariance and nonlocal means based super resolutionMengyan Wang, Jiaying Liu, Wei Bai, Zongming Guo. 1203-1206 [doi]
- Improving dictionary based image super-resolution with nonlocal total variation regularizationCheolkon Jung, Junwei Ju. 1207-1211 [doi]
- Latency-constrained binding of data flow graphs to energy conscious GALS-based MPSoCsJude Angelo Ambrose, Isuru Nawinne, Sri Parameswaran. 1212-1215 [doi]
- FPGA implementation of a scheduler supporting parallel dataflow executionJunneng Zhang, Chao Wang, Xi Li, Xuehai Zhou. 1216-1219 [doi]
- Parallelization techniques for implementing trellis algorithms on graphics processorsQ. Zheng, Y. Chen, Ronald G. Dreslinski, Chaitali Chakrabarti, A. Anastasopoulos, Scott A. Mahlke, Trevor N. Mudge. 1220-1223 [doi]
- CASL hypervisor and its virtualization platformChien-Te Liu, Kuan-Chung Chen, Chung-Ho Chen. 1224-1227 [doi]
- Network partitioning and GA heuristic crossover for NoC application mappingYin Zhen Tei, Muhammad N. Marsono, Nasir Shaikh-Husin, Yuan Wen Hau. 1228-1231 [doi]
- Power-aware buddy system and task group schedulerXi Li, Zongwei Zhu, Gangyong Jia, Xuehai Zhou. 1232-1235 [doi]
- Low-energy and low-latency error-correction for phase change memoryXinmiao Zhang, Fang Cai, M. P. Anantram. 1236-1239 [doi]
- Using condition flag prediction to improve the performance of out-of-order processorsTzu-Hsuan Hsu, Ching-Wen Lin, Chung-Ho Chen. 1240-1243 [doi]
- Designing scratchpad memory architecture with emerging STT-RAM memory technologiesPeng Wang, Guangyu Sun, Tao Wang, Yuan Xie, Jason Cong. 1244-1247 [doi]
- An MTJ-based nonvolatile associative memory architecture with intelligent power-saving scheme for high-speed low-power recognition applicationsYitao Ma, Tadashi Shibata, Tetsuo Endoh. 1248-1251 [doi]
- Improved characterization of high speed continuous-time ΔΣ modulators using a duobinary test interfaceAnkesh Jain, Shanthi Pavan. 1252-1255 [doi]
- Hybrid incremental-ΣΔ-ADC for ambient light sensing applicationsJohannes Uhlig, Stefan Hänzsche, Johannes Gorner, René Schüffny, Thomas Reichel, Lars Gopfert. 1256-1259 [doi]
- Power and area efficient comb-based decimator for Sigma-Delta ADCs with high decimation factorsGerardo Molina Salgado, Gordana Jovanovic-Dolecek, Jose M. de la Rosa. 1260-1263 [doi]
- Calculating transfer functions of CT sigma-delta modulators with arbitrary DAC waveformsTimon Brückner, Martin Kiebler, Matthias Lorenz, Christoph Zorn, Wolfgang Mathis, Maurits Ortmanns. 1264-1267 [doi]
- Analysis and design of high speed/high linearity continuous time delta-sigma modulatorChao Chu, Timon Brückner, John G. Kauffman, Jens Anders, Joachim Becker, Maurits Ortmanns. 1268-1271 [doi]
- A type of lumped-element-based analog filters based on transversal circuit networksRaul Loeches-Sanchez, Roberto Gómez-Garcia. 1272-1275 [doi]
- A Steerable DC-1 GHz all-pass filter-Sum RF space-time 2-D beam filter in 65 nm CMOSChamith Wijenayake, Arjuna Madanayake, Yongsheng Xu, Leonid Belostotski, Len T. Bruton. 1276-1279 [doi]
- A 54dB-DR 1-GHz-bandwidth continuous-time low-pass filter with in-band noise reductionMarcello De Matteis, Stefano D'Amico, Giuseppe Cocciolo, Marco De Blasi, Andrea Baschirotto. 1280-1283 [doi]
- An inductorless 3-5 GHz band-pass filter with tunable center frequency in 90 nm CMOSTuan Anh Vu, Shanthi Sudalaiyandi, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande. 1284-1287 [doi]
- Improved technique for continuous tuning of CMOS transconductorJosé M. Algueta Miguel, Antonio J. López-Martín, Jaime Ramírez-Angulo, Ramón González Carvajal. 1288-1291 [doi]
- Error correction algorithm for high accuracy bio-impedance measurement in wearable healthcare applicationsRajkumar Chinnakonda Kubendran, Sunyoung Kim, Refet Firat Yazicioglu. 1292-1295 [doi]
- An efficient DCT-IV-based ECG compression algorithm and its hardware accelerator designShin-Chi Lai, Wei-Che Chien, Chien-Sheng Lan, Meng-Kun Lee, Ching-Hsing Luo, Sheau-Fang Lei. 1296-1299 [doi]
- Wireless wearable ECG sensor design based on level-crossing sampling and linear interpolationYibin Hong, Zhixiong Xie, Yong Lian. 1300-1303 [doi]
- Influence of prior knowledge on the accuracy limit of parameter estimation in single-molecule fluorescence microscopyZhiping Lin, Yau Wong, Raimund J. Ober. 1304-1307 [doi]
- Identification of motor neuron disease using wavelet domain features extracted from EMG signalShaikh Anowarul Fattah, A. B. M. Sayeed Ud Doulah, Md. Asif Iqbal, Celia Shahnaz, Wei-Ping Zhu, M. Omair Ahmad. 1308-1311 [doi]
- Extracting underlying trend and predicting power usage via joint SSA and sparse binary programmingZhijing Yang, Bingo Wing-Kuen Ling, Chris Bingham. 1312-1315 [doi]
- Steady state reliability of maximum power point tracking algorithms used with a thermoelectric generatorIan Laird, Dylan D. C. Lu. 1316-1319 [doi]
- A generalized droop-control scheme for decentralized control of inverter-interfaced microgridsF. Luo, Yuk-Ming Lai, K. H. Loo, Chi Kong Tse, Xinbo Ruan. 1320-1323 [doi]
- Stability issues in cascade connected switching converters for DC microgrid applicationsReham Haroun, Abdelali El Aroudi, Angel Cid-Pastor, Luis Martinez-Salamero. 1324-1327 [doi]
- A isolated bidirectional interleaved flyback converter for battery backup system applicationShih-Ming Chen, Tsorng-Juu Liang, Yong-Hong Huang. 1328-1331 [doi]
- Low-complexity finite alphabet iterative decoders for LDPC codesFang Cai, Xinmiao Zhang, David Declercq, Bane V. Vasic, Dung Viet Nguyen, Shiva Kumar Planjery. 1332-1335 [doi]
- Memory efficient EMS decoding for non-binary LDPC codesLeixin Zhou, Jin Sha, Yun Chen, Zhongfeng Wang. 1336-1339 [doi]
- Parallel interleaver architecture with new scheduling scheme for high throughput configurable turbo decoderGuohui Wang, Aida Vosoughi, Hao Shen, Joseph R. Cavallaro, Yuanbin Guo. 1340-1343 [doi]
- High-performance iterative BCH decoder architecture for 100 Gb/s optical communicationsJewong Yeon, Hanho Lee. 1344-1347 [doi]
- Low-complexity layered iterative hard-reliability-based majority-logic decoder for non-binary quasi-cyclic LDPC codesChenrong Xiong, Zhiyuan Yan. 1348-1351 [doi]
- A new algorithm for compressive sensing based on total-variation normJeevan K. Pant, Wu-Sheng Lu, Andreas Antoniou. 1352-1355 [doi]
- A two-class information concealing system based on compressed sensingValerio Cambareri, Salvador Javier Haboba, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti, Kwok-Wo Wong. 1356-1359 [doi]
- A rakeness-based design flow for Analog-to-Information conversion by Compressive SensingValerio Cambareri, Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 1360-1363 [doi]
- Gating and robust EKF based target tracking in mixed LOS/NLOS environmentsLili Yi, Sirajudeen Gulam Razul, Zhiping Lin, Chong Meng Samson See. 1364-1367 [doi]
- Compressive sensing recovery from non-ideally quantized measurementsHsuan-Tsung Wang, Suvradip Ghosh, Walter D. Leon-Salas. 1368-1371 [doi]
- A hardware CABAC encoder for HEVCBin Peng, Dandan Ding, Xingguo Zhu, Lu Yu. 1372-1375 [doi]
- Flexible integer DCT architectures for HEVCSang Yoon Park, Pramod Kumar Meher. 1376-1379 [doi]
- An FPGA co-processor for adaptive lane departure warning systemWei Wang, Xinming Huang. 1380-1383 [doi]
- Buffer optimization based on critical path analysis of a dataflow program designSimone Casale Brunet, Marco Mattavelli, Jörn W. Janneck. 1384-1387 [doi]
- A new bandwidth adaptive non-local kernel regression algorithm for image/video restoration and its GPU realizationChong Wang, Shing-Chow Chan. 1388-1391 [doi]
- A 7 mW 2.5 GHz spread spectrum clock generator using switch-controlled injection-locked oscillatorJeongmin Yang, Young-Ju Kim, Lee-Sup Kim. 1392-1395 [doi]
- A 40Gb/s 860μW single-phase 4: 1 multiplexer in 45nm CMOSElkim Roa, Byunghoo Jung. 1396-1399 [doi]
- A self-calibrating multi-VCO PLL scheme with leakage and capacitive modulation mitigationsYikui Jen Dong, Freeman Zhong. 1400-1403 [doi]
- A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technologyPang-Kai Liu, Szu-Yao Hung, Chang-Yi Liu, Min-Han Hsieh, Charlie Chung-Ping Chen. 1404-1407 [doi]
- SSC tracking analysis and a deeper-SSC estimatorYaming Zhang, Weixin Gai. 1408-1411 [doi]
- Video compression using 3D multiscale recurrent patternsNelson C. Francisco, Nuno M. M. Rodrigues, Eduardo A. B. da Silva, Murilo B. de Carvalho, Sérgio M. M. de Faria. 1412-1415 [doi]
- Effective early termination using adaptive search order for frame rate up-conversionYong Guo, Zhiyong Gao, Li Chen, Xiaoyun Zhang. 1416-1419 [doi]
- Stereo matching by adaptive weighting selection based cost aggregationLingfeng Xu, Oscar C. Au, Wenxiu Sun, Lu Fang, Ketan Tang, Jiali Li, Yuanfang Guo. 1420-1423 [doi]
- Line-based distributed coding scheme for onboard lossless compression of high-resolution stereo imagesJinlei Zhang, Houqiang Li. 1424-1427 [doi]
- Personal photo album compression and managementRuobing Zou, Oscar C. Au, Guyue Zhou, Wei Dai, Wei Hu, Pengfei Wan. 1428-1431 [doi]
- Concurrent faulty clock detection for crypto circuits against clock glitch based DFAHiroaki Igarashi, Youhua Shi, Masao Yanagisawa, Nozomu Togawa. 1432-1435 [doi]
- A high-performance low-power SoC for mobile one-time password applicationsSongping Mai, Chunhong Li, Yixin Zhao, Chun Zhang, Zhihua Wang. 1436-1439 [doi]
- High-efficient hardware design based on enhanced Tschirnhaus transform for solving the LSPsChung-Hsien Chang, Shi-Huang Chen, Bo-Wei Chen, Chih-Hsiang Peng, Jhing-Fa Wang. 1440-1443 [doi]
- PCKGen: A Phase Change Memory based cryptographic key generatorLe Zhang, Zhi-Hui Kong, Chip-Hong Chang. 1444-1447 [doi]
- 39.9 GOPs/watt multi-mode CGRA accelerator for a multi-standard basestationNasim Farahini, Shuo Li, Muhammad Adeel Tajammul, Muhammad Ali Shami, Guo Chen, Ahmed Hemani, Wei Ye. 1448-1451 [doi]
- Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm UTBB-FDSOIKaya Can Akyel, Lorenzo Ciampolini, Olivier Thomas, Bertrand Pelloux-Prayer, Shishir Kumar, Philippe Flatresse, Christophe Lecocq, Gérard Ghibaudo. 1452-1455 [doi]
- An improved read/write scheme for anchorless NEMS-CMOS non-volatile memoryAnh-Tuan Do, Karthik G. Jayaraman, Vincent Pott, Geng L. Chua, Pushpapraj Singh, Kiat Seng Yeo, Tony Tae-Hyoung Kim. 1456-1459 [doi]
- CMOS SRAM scaling limits under optimum stability constraintsAdam Makosiej, Olivier Thomas, Amara Amara, Andrei Vladimirescu. 1460-1463 [doi]
- 0.18 μm CMOS process photodiode memoryTakayuki Kubota, Minoru Watanabe. 1464-1467 [doi]
- A 40nm 1.0Mb pipeline 6T SRAM with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-AssistChi-Shin Chang, Hao-I Yang, Wei-Nan Liao, Yi-Wei Lin, Nan-Chun Lien, Chien-Hen Chen, Ching-Te Chuang, Wei Hwang, Shyh-Jye Jou, Ming-Hsien Tu, Huan-Shun Huang, Yong-Jyun Hu, Paul-Sen Kan, Cheng-Yo Cheng, Wei-Chang Wang, Jian-Hao Wang, Kuen-Di Lee, Chia-Cheng Chen, Wei-Chiang Shih. 1468-1471 [doi]
- An auto-reconfigurable dual-output SC DC-DC regulator with sub-harmonic fixed on-time control for energy-harvesting applicationsZhe Hua, Hoi Lee, Xiwen Zhang. 1472-1475 [doi]
- An all-subthreshold, 0.75V supply, 2ppm/°C, CMOS Voltage ReferenceCharalambos M. Andreou, Julius Georgiou. 1476-1479 [doi]
- A low voltage low output impedance CMOS bandgap voltage referenceEdward K. F. Lee. 1480-1483 [doi]
- Output-capacitorless CMOS LDO regulator based on high slew-rate current-mode transconductance amplifierAlireza Saberkari, Rasoul Fathipour, Herminio Martínez, Alberto Poveda, Eduard Alarcón. 1484-1487 [doi]
- A FVF based output capacitorless LDO regulator with wide load capacitance rangeK. C. Koay, S. S. Chong, P. K. Chan. 1488-1491 [doi]
- 2C protocol in the WaferBoard™Wasim Hussain, Yvon Savaria, Yves Blaquière. 1492-1495 [doi]
- A radiation-hardened DLL with fine resolution and DCC for DDR2 memory interface in 0.13 μm CMOSSiyu Yang, Deping Huang, Xiaoke Wen, Lei Chen, Jinghong Chen. 1496-1499 [doi]
- 2 60μW 11.2b ENOB signal acquisition ASIC for resistive bridge sensorsAlan Pun, Jeff Wong, Gigi Chan, William Wong, David Kwong, K.-C. Wang. 1500-1503 [doi]
- A 5-V 555-μW 0.8-μm CMOS MEMS capacitive sensor interface using correlated level shiftingJack Shiah, Shahriar Mirabbasi. 1504-1507 [doi]
- A low-power ratiometric single/differential quasi-digital converterCristina Azcona, Belén Calvo, Santiago Celma, Nicolás J. Medrano-Marqués, Pedro A. Martínez. 1508-1511 [doi]
- High effective medical image segmentation with model adjustable methodYiwu Yao, Yuhua Cheng. 1512-1515 [doi]
- Lobe asymmetry-based automatic classification of brain magnetic resonance imagesSalim Lahmiri, Mounir Boukadoum. 1516-1519 [doi]
- Reconstruction of neural action potentials using signal dependent sparse representationsJie Zhang, Yuanming Suo, Srinjoy Mitra, Sang Peter Chin, Trac D. Tran, Refet Firat Yazicioglu, Ralph Etienne-Cummings. 1520-1523 [doi]
- Estimation of time-varying autocorrelation and its application to time-frequency analysis of nonstationary signalsZening Fu, Zhiguo Zhang, Shing-Chow Chan. 1524-1527 [doi]
- Real-time assessment of vigilance level using an innovative Mindo4 wireless EEG systemChin-Teng Lin, Chun-Hsiang Chuang, Chih-Sheng Huang, Yen-Hsuan Chen, Li-Wei Ko. 1528-1531 [doi]
- Convergence regions of Newton method in power flow studies: Numerical studiesJiao-Jiao Deng, Tian-Qi Zhao, Hsiao-Dong Chiang, Yong Tang, Yi Wang. 1532-1535 [doi]
- Homotopy-enhanced power flow methods for general distribution networks with distributed generatorsTian-Qi Zhao, Jiao-Jiao Deng, Kaoru Koyanagi. 1536-1539 [doi]
- Probabilistic load margins of power systems embedded with wind farmsJian-Hong Liu, Jia-Long Shyu, Chia-Chi Chu. 1540-1543 [doi]
- Modeling and simulation of information-embedded multi-converter power systemsChika O. Nwankpa, Juan C. Jiménez, Sachi Jayasuriya. 1544-1547 [doi]
- Towards development of a CUEP method for Network-preserving power system modelsLuís F. C. Alberto, Warut Suampun, Hsiao-Dong Chiang. 1548-1551 [doi]
- Optimized algorithms for binary BCH codesMin Yin, Menwang Xie, Bo Yi. 1552-1555 [doi]
- Two programmable BCH soft decoders for high rate codes with large word lengthMohamed T. A. Osman, Hossam A. H. Fahmy, Yasmine A. H. Fahmy, Maha Elsabrouty. 1556-1559 [doi]
- Low-power design of Reed-Solomon encodersWei Zhang, Jing Wang, Xinmiao Zhang. 1560-1563 [doi]
- Analog and digital approaches for an energy efficient low complexity channel decoderReza Meraji, S. M. Yasser Sherazi, John B. Anderson, Henrik Sjöland, Viktor Öwall. 1564-1567 [doi]
- Dependability-increasing technique for a multi-context optically reconfigurable gate arrayAkira Tanigawa, Minoru Watanabe. 1568-1571 [doi]
- System analysis and energy model for radio-triggered battery-less monolithic wireless sensor receiverHao Gao, Yan Wu 0001, Marion Matters-Kammerer, Jean-Paul M. G. Linnartz, Arthur H. M. van Roermund, Peter G. M. Baltus. 1572-1575 [doi]
- A 1.26mW/Gbps 8 locking cycles versatile all-digital CDR with TDC combined DLLYuki Urano, Won-Joo Yun, Tadahiro Kuroda, Hiroki Ishikuro. 1576-1579 [doi]
- Improved lifetime routing for Wireless Sensor NetworksHamid Rafiei Karkvandi, Efraim Pecht, Orly Yadid-Pecht. 1580-1583 [doi]
- A super-regenerative pulsed UWB receiver combined with injection-lockingTongning Hu, Bo Wang, Shan Liu, Yi Peng, Jinghai Zhang, Jinpeng Shen, Xin'an Wang. 1584-1587 [doi]
- A UWB mixer with a balanced wide band active balun using crossing centertaped inductorXiangrong Zhang, Xiaole Cui, Bo Wang, Chung-Len Lee. 1588-1591 [doi]
- An improved aVLSI axon with programmable delay using spike timing dependent delay plasticityRunchun Wang, Gregory Cohen, Tara Julia Hamilton, Jonathan Tapson, André van Schaik. 1592-1595 [doi]
- Stochastic resonance in an analog current-mode neuromorphic circuitDamien Querlioz, Vincent Trauchessec. 1596-1599 [doi]
- A floating-gate analog memory with bidirectional sigmoid updates in a standard digital processJunjie Lu, Jeremy Holleman. 1600-1603 [doi]
- Genetic Algorithm with virus infection for finding approximate solutionTakuya Inoue, Yoko Uwate, Yoshifumi Nishio. 1604-1607 [doi]
- A multi-modal and tunable Radial-Basis-Funtion circuit with supply and temperature compensationKyuHo Lee, Junyoung Park, Gyeonghoon Kim, Injoon Hong, Hoi-Jun Yoo. 1608-1611 [doi]
- Analog implementation of encoded neural networksBenoit Larras, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin. 1612-1615 [doi]
- Excitatory and Inhibitory Memristive Synapses for Spiking Neural NetworksGwendal Lecerf, Jean Tomas, Sylvain Saïghi. 1616-1619 [doi]
- A spiking-neuron collective analog adder with scalable precisionSung Sik Woo, Rahul Sarpeshkar. 1620-1623 [doi]
- Spike-based analog-digital neuromorphic information processing system for sensor applicationsGiovanny Sánchez, Thomas Jacob Koickal, T. A. Athul Sripad, Luiz Carlos Gouveia, Alister Hamilton, Jordi Madrenas. 1624-1627 [doi]
- Application of neural networks with CSD coefficients for human face recognitionAyesa Parvin, Majid Ahmadi, Roberto Muscedere. 1628-1631 [doi]
- Disparity vector based advanced inter-view prediction in 3D-HEVCLi Zhang, Ying Chen, Marta Karczewicz. 1632-1635 [doi]
- Inter-layer intra mode coding for the scalable extension of HEVCZhijie Zhao, Junyong Si, Jörn Ostermann, Weiping Li. 1636-1639 [doi]
- Fast zero block detection and early CU termination for HEVC Video CodingPai-Tse Chiang, Tian-Sheuan Chang. 1640-1643 [doi]
- Directional block compressed sensing for image codingLei Liu, Anhong Wang, Kongfen Zhu, Chunyu Lin, Yao Zhao. 1644-1647 [doi]
- A mode-mapping and optimized MV conjunction based MGS-scalable SVC to AVC IPPP transcoderLei Sun, Zhenyu Liu, Takeshi Ikenaga. 1648-1651 [doi]
- Time-Division-Multiplexer based routing algorithm for NoC systemMing-e Jing, Zhiyi Yu, Xiaoyang Zeng, Liyang Zhou. 1652-1655 [doi]
- Implementation and optimization of 3780-point FFT on multi-core systemMing-e Jing, Zhiyi Yu, Xiaoyang Zeng, Jiayi Sheng, HaoFan Yang. 1656-1659 [doi]
- Traffic- and Thermal-aware Adaptive Beltway Routing for three dimensional Network-on-Chip systemsKun-Chih Chen, Che-Chuan Kuo, Hui-Shun Hung, An-Yeu Andy Wu. 1660-1663 [doi]
- A run-time adaptive multiprocessor systemMateus Beck Rutzig, Antonio Carlos Schneider Beck, Luigi Carro. 1664-1667 [doi]
- High-performance multiplierless transform architecture for HEVCWenjun Zhao, Takao Onoye, Tian Song. 1668-1671 [doi]
- TSV-based on-chip inductive coupling communicationsKhaled Salah, Alaa El Rouby, Hani Ragai, Yehea I. Ismail. 1672-1675 [doi]
- Differential pair sense amplifier for a robust reading scheme for memristor-based memoriesSami Smaili, Yehia Massoud. 1676-1679 [doi]
- Muller C-element based Decoder (MCD): A decoder against transient faultsYangyang Tang, Emmanuel Boutillon, Chris Winstead, Christophe Jégo, Michel Jézéquel. 1680-1683 [doi]
- Design and verification of an all-digital on-chip process variation sensorReum Oh, Ji-Woong Jang, Man Young Sung. 1684-1687 [doi]
- A decoding algorithm with reduced complexity for non-binary LDPC codes over large fieldsJun Lin, Zhiyuan Yan. 1688-1691 [doi]
- A meminductive circuit based on floating memristive emulatorD. S. Yu, H. Chen, Herbert Ho-Ching Iu. 1692-1695 [doi]
- New implementation of time domain measurement of quality factorMing Zhang, Nicolas Llaser, Xusheng Wang, Francis Rodes, Romain Denieport. 1700-1703 [doi]
- A LUT-free DC offset calibration method for removing the PGA-gain-correlated offset residueLingwei Zhang, Hanjun Jiang, Fule Li, Jingjing Dong, Zhihua Wang. 1704-1707 [doi]
- A monolithic CMOS automatic biasing system for 40GHz multistage HEMTMarco De Blasi, Mino Pierri, Stefano D'Amico, Marcello De Matteis, Andrea Baschirotto, A. Bau, A. Passerini, M. Gervasi, M. Zannoni. 1708-1711 [doi]
- An analytical model of the overshooting effect for multiple-input gates in nanometer technologiesLi Ding, Jing Wang, Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue. 1712-1715 [doi]
- A rapid analog amendment framework using the incremental floorplanning techniqueSheng-Jhih Jiang, Tsung-Yi Ho. 1716-1719 [doi]
- Post-layout redundant wire insertion for fixing min-delay violationsJin-Tai Yan, Zhi-Wei Chen. 1720-1723 [doi]
- Feasible transition path generation for EFSM-based system testingSieng Wong, Chia Yee Ooi, Yuan Wen Hau, Muhammad N. Marsono, Nasir Shaikh-Husin. 1724-1727 [doi]
- Rules maps for scheduling algorithm knowledgeMartin Dubois, Mounir Boukadoum. 1728-1731 [doi]
- Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesisBo Huang, Song Chen, Wei Zhong, Takeshi Yoshimura. 1732-1735 [doi]
- A partial redundant fault-secure high-level synthesis algorithm for RDR architecturesKazushi Kawamura, Sho Tanaka, Masao Yanagisawa, Nozomu Togawa. 1736-1739 [doi]
- Automatic verification of transition systems with unspecified componentsMo Xia, Ming Jin, GuiMing Luo. 1740-1744 [doi]
- Mobility overlap-removal based leakage power aware scheduling in high-level synthesisNan Wang, Song Chen, Yuhuan Sun, Takeshi Yoshimura. 1745-1748 [doi]
- Efficient middleware for network evaluation and optimization in Wireless Sensor Network designYujie Liang, Rendong Ying, Peilin Liu. 1749-1752 [doi]
- An efficient method to compute phase-noise in injection-locked frequency dividersGiovanni Marucci, Salvatore Levantino, Paolo Maffezzoni, Carlo Samori. 1753-1756 [doi]
- A 47μW 204MHz AlN Contour-Mode MEMS based tunable oscillator in 65nm CMOSXiaotie Wu, Chengjie Zuo, Milin Zhang, Jan Van der Spiegel, Gianluca Piazza. 1757-1760 [doi]
- Bang-bang phase detector model revisitedCarlis Sanchez-Azqueta, C. Gimeno, Concepción Aldea, Santiago Celma, Cristina Azcona. 1761-1764 [doi]
- A mismatch-robust period-based VCO frequency comparison technique for ULP receiversShahaboddin Moazzeni, Glenn E. R. Cowan, Mohamad Sawan. 1765-1768 [doi]
- Simulating the synchronization of multi-scroll chaotic oscillatorsVictor Hugo Carbajal-Gomez, Esteban Tlelo-Cuautle, Rodolfo Trejo-Guerra, Jesús M. Muñoz-Pacheco. 1773-1776 [doi]
- On oscillatory dynamics of small-RNAs-mediated two-gene regulatory networksMin Xiao, Wei Xing Zheng. 1777-1780 [doi]
- Frustrated synchronization in two coupled polygonal oscillatory networksYoko Uwate, Yoshifumi Nishio. 1781-1784 [doi]
- Ultra-low-power 2.4 GHz Colpitts oscillator based on double feedback techniqueRodrigo Eduardo Rottava, S. Carlyle Camara, Fernando Rangel de Sousa, Robson Nunes de Lima. 1785-1788 [doi]
- A multilevel fingerprinting method for FPGA IP protectionTingyuan Nie, Yansheng Li, Lijian Zhou, Masahiko Toyonaga. 1789-1792 [doi]
- A VLSI architecture for enhancing the fault tolerance of NoC using quad-spare mesh topology and dynamic reconfigurationYu Ren, Leibo Liu, Shouyi Yin, Qinghua Wu, Shaojun Wei, Jie Han. 1793-1796 [doi]
- A fast CAM-based image matching system on FPGADuc-Hung Le, Tran Bao Thuong Cao, Katsumi Inoue, Cong-Kha Pham. 1797-1800 [doi]
- A high speed configurable FPGA architecture for k-mean clusteringJithin Sankar Sankaran Kutty, Farid Boussaïd, Abbes Amira. 1801-1804 [doi]
- Compressed sensing SAR moving target imaging in the presence of basis mismatchAhmed Khwaja, Xiao-Ping Zhang. 1809-1812 [doi]
- Sigma delta feedback DAC architectures for high accuracy and extremely low charge transferKetan J. Pol, Hans Hegt, Sotir Ouzounov. 1813-1816 [doi]
- An ultra-low-power voltage-mode asynchronous WTA-LTA circuitJorge Fernandez-Berni, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. 1817-1820 [doi]
- A low-power wide-dynamic-range readout IC for breath analyzer systemYingkan Lin, Perena Gouma, Milutin Stanacevic. 1821-1824 [doi]
- Link and energy adaptive UWB-based embedded sensing with renewable energyJunLin Chen, Dong Zhao, Lei Wang. 1825-1828 [doi]
- Slew-rate enhancement for a single-ended low-power two-stage amplifierB. Hossein Kassiri, M. Jamal Deen. 1829-1832 [doi]
- 2 10-b 100MS/s pipelined ADC using Nauta structure op-amps in 180nm CMOSAndrew Nicholson, Julian Jenkins, Astria Nur Irfansyah, Nonie Politi, André van Schaik, Tara Julia Hamilton, Torsten Lehmann. 1833-1836 [doi]
- A switched-capacitor biquad using a simple quasi-unity gain amplifierHugo Serra, Nuno F. Paulino, João Goes. 1841-1844 [doi]
- A multi-mode complex bandpass filter with gm-assisted power optimization and I/Q calibrationNan Qi, Zheng Song, Baoyong Chi, Albert Z. Wang, Tianling Ren, Zhihua Wang. 1845-1848 [doi]
- A low-noise amplifier with continuously-tuned input matching frequency and output resonance frequencyXi Zhu, Chirn Chye Boon, Ayobami Iji, Yichuang Sun, Michael Heimlich. 1849-1852 [doi]
- An LNA with optimally mismatched antenna interface for energy harvesting sensor nodesYao Liu, Wouter A. Serdijn. 1853-1856 [doi]
- Energy detection technique for ultra-low power high sensitivity wake-up receiverWenting Zhou, Jan M. Rabaey. 1857-1860 [doi]
- Ring-VCO based low noise and low spur frequency synthesizerTe-Wen Liao, Jun-Ren Su, Chung-Chih Hung. 1861-1864 [doi]
- Time domain probe insertion to find steady state of strongly nonlinear high-Q oscillatorsFederico Bizzarri, Angelo Brambilla, Giambattista Gruosso, Giancarlo Storti Gajani. 1865-1868 [doi]
- A reconfigurable architecture for real-time prediction of neural activityWill X. Y. Li, Ray C. C. Cheung, Rosa H. M. Chan, Dong Song, Theodore W. Berger. 1869-1872 [doi]
- A 16-channel neural stimulator with DAC sharing scheme for visual prosthesesKyomuk Lim, Jindeok Seo, Changho Seok, Hyoungho Ko. 1873-1876 [doi]
- A switched-mode multichannel neural stimulator with a minimum number of external componentsMarijn N. van Dongen, Wouter A. Serdijn. 1877-1880 [doi]
- Design of an implantable stimulator ASIC with self-adapting supplyXiao Liu, Andreas Demosthenous, Dai Jiang, Nick Donaldson. 1881-1884 [doi]
- A flexible biphasic pulse generating and accurate charge balancing stimulator with a 1μW neural recording amplifierHosung Chun, Omid Kavehei, Nhan Tran, Stan Skafidas. 1885-1888 [doi]
- A novel hardware implementation for joint heart rate, respiration rate, and gait analysis applied to body area networksM. Khazraee, A. R. Zamani, M. Hallajian, S. P. Ehsani, H. A. Moghaddam, A. Parsafar, M. Shabany. 1889-1892 [doi]
- VLSI implementation of real-time motion compensated beamforming in synthetic transmit aperture imagingKuan-Yu Ho, Yu-Hao Chen, Cheng-Zhou Zhan, An-Yeu Andy Wu. 1893-1896 [doi]
- A study of the partitioned dynamic programming algorithm for genome comparison in FPGAYuanqi Hu, Pantelis Georgiou. 1897-1900 [doi]
- An updated Cardiovascular Simulation ToolboxGabriela Ortiz-Leon, Marta Vilchez-Monge, Juan J. Montero-Rodriguez. 1901-1904 [doi]
- Digital microfluidic system: A new design for heterogeneous sample based integration of multiple DMFBsPranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta. 1905-1909 [doi]
- A remotely powered multi frequency RFID sensing tag with integrated impedance measurement interfaceMartin Wiessflecker, Günter Hofer, Hannes Reinisch, Stefan Gruber, Gerald Holweg, Walther Pachler, Michael Klamminger, Wolfgang Pribyl. 1910-1913 [doi]
- Micro-watt inductorless gm-boost LNA for biomedical implantsFarhad Goodarzy, Behnam Sedighi, Efstratios Skafidas. 1914-1917 [doi]
- A variable bandwidth amplifier for a dual-mode low-power ΔΣ modulator in cardiac pacemaker systemAli Fazli Yeknami, Atila Alvandpour. 1918-1921 [doi]
- A 1.5 μW NEO-based spike detector with adaptive-threshold for calibration-free multichannel neural interfacesErmis Koutsos, Sivylla E. Paraskevopoulou, Timothy G. Constandinou. 1922-1925 [doi]
- A low-power noise scalable instrumentation amplifier for fetal monitoring applicationsShuang Song, M. J. Rooijakkers, Chiara Rabotti, Massimo Mischi, Arthur H. M. van Roermund, Eugenio Cantatore. 1926-1929 [doi]
- Low-effort high-performance viterbi-based receiver for Bluetooth LE applicationsYe Zhang, Zhimiao Chen, Ralf Wunderlich, Stefan Heinen. 1930-1933 [doi]
- Low-power programmable charge-domain sampler with embedded N-path bandpass filter for software-defined radioYushi Zhou, Norm Filiol, Shaul Peker, Fei Yuan. 1934-1937 [doi]
- Log-likelihood ratio algorithm for rate compatible modulationWengui Rao, Yan Dong, Fang Lu, Shu Wang. 1938-1941 [doi]
- A novel method to design wideband power amplifier for wireless communicationRamazan Köprü, Hakan Kuntman, B. Siddik Yarman. 1942-1945 [doi]
- New blind multiuser detection DS-CDMA algorithm using simplified fourth order cumulant matricesZaid Albataineh, Fathi M. Salem. 1946-1949 [doi]
- A 100Gb/s quad-rate transformer-coupled injection-locking CDR circuit in 65nm CMOSFanta Chen, Jen-Ming Wu, Jenny Yi-Chun Liu, Mau-Chung Frank Chang. 1950-1953 [doi]
- Electromagnetic analysis on ring oscillator-based true random number generatorsPierre Bayon, Lilian Bossuet, Alain Aubert, Viktor Fischer. 1954-1957 [doi]
- An improved push-pull driver using 0.13μm CMOSKe Li, Peter Wilson. 1958-1961 [doi]
- m)Huapeng Wu. 1962-1965 [doi]
- Compressive modulation in digital communicationYingyu Li, Guangming Shi, Xuemei Xie, Chongyu Chen. 1966-1969 [doi]
- Experimental results on wideband spectrum sensing using random sampling ADC in 90nm CMOSRobert D'Angelo, Michael Trakimas, Shuchin Aeron, Sameer R. Sonkusale. 1970-1973 [doi]
- A high resolution and high accuracy R-2R DAC based on ordered element matchingYou Li, Tao Zeng, Degang Chen. 1974-1977 [doi]
- A novel energy-efficient serializer design method for gigascale systemsKejun Wu, Peng Liu 0016, Qiaoyan Yu. 1978-1981 [doi]
- A low-power 0.5V regulator with settling enhancement for wireless sensor nodesFu-Chun Wen, Hung-Sheng Hsu, Zhi-Hao Hong, Yu-Te Liao. 1982-1985 [doi]
- A 1.2V 10-bit 5 MS/s CMOS cyclic ADCChi-Chang Lu. 1986-1989 [doi]
- Low power quantizer design in CT Delta Sigma modulatorsJohn G. Kauffman, Rudolf Ritter, Chao Chu, Joachim Becker, Maurits Ortmanns. 1990-1993 [doi]
- Undersampling RF-to-digital CT ΣΔ modulator with tunable notch frequency and simplified raised-cosine FIR feedback DACSohail Asghar, Rocio del Río, José Manuel de la Rosa. 1994-1997 [doi]
- A 1.96-mW, 2.6-MHz bandwidth discrete time quadrature band-pass ΣΔ modulatorKumar Y. B. Nithin, Hervé Caracciolo, Edoardo Bonizzoni, A. Parra, Franco Maloberti. 1998-2001 [doi]
- A 3rd-order delta-sigma modulator with timing-sharing opamp-sharing techniqueI-Jen Chao, Chia-Ming Kuo, Bin-Da Liu, Chun-Yueh Huang, Soon-Jyh Chang. 2002-2005 [doi]
- A 10MHz-BW, 5.6mW, 70dB SNDR ΔΣ ADC using VCO-based integrators with intrinsic DEMKyoungtae Lee, Yeonam Yoon, Nan Sun. 2006-2009 [doi]
- A 0.5-V 250-nW 65-dB SNDR passive ΔΣ modulator for medical implant devicesAli Fazli Yeknami, Atila Alvandpour. 2010-2013 [doi]
- A split-capacitor vcm-based capacitor-switching scheme for low-power SAR ADCsYue Wu, Xu Cheng, Xiaoyang Zeng. 2014-2017 [doi]
- A 5-bit 1.25GS/s 4.7mW delay-based pipelined ADC in 65nm CMOSAli Mesgarani, Haipeng Fu, Mei Yan, A. Tekin, Hao Yu, Suat Ay. 2018-2021 [doi]
- Analysis and design of high performance frequency-interleaved ADCQiu Lei, Yuanjin Zheng, Liter Siek. 2022-2025 [doi]
- Integrator swing reduction in feedback compensated Sigma-Delta modulatorsRudolf Ritter, John G. Kauffman, Matthias Lorenz, Maurits Ortmanns. 2026-2029 [doi]
- A 0.5V rate-resolution scalable SAR ADC with 63.7dB SFDRHai Huang, Kun Ao, Zhiyong Guo, Qiang Li. 2030-2033 [doi]
- A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOSWei-Sheng Cheng, Min-Han Hsieh, Shuo-Hong Hung, Szu-Yao Hung, Charlie Chung-Ping Chen. 2034-2037 [doi]
- A 15-bit two-step sigma-delta ADC with embedded compression for image sensor arrayMengyun Yue, Dong Wu, Zheyao Wang. 2038-2041 [doi]
- A 1.5-bit/stage pipeline ADC with FFT-based calibration methodMing-Chun Liang, Cheng-Han Hsieh, Shuenn-Yuh Lee. 2042-2045 [doi]
- A Low power 10bit 500kS/s delta-modulated SAR ADC (DMSAR ADC) for implantable medical devicesYuan-Fu Lyu, Chung-Yu Wu, Li-Chen Liu, Wei-Ming Chen. 2046-2049 [doi]
- A novel FIR filter based on stochastic logicJienan Chen, JianHao Hu. 2050-2053 [doi]
- Partial LUT size analysis in distributed arithmetic FIR Filters on FPGAsMartin Kumm, Konrad Moller, Peter Zipf. 2054-2057 [doi]
- Reconfigurable FIR filter using distributed arithmetic on FPGAsMartin Kumm, Konrad Moller, Peter Zipf. 2058-2061 [doi]
- A VLSI DBSCAN processor composed as an array of micro agents having self-growing interconnectsAtsushi Shimada, Hongbo Zhu, Tadashi Shibata. 2062-2065 [doi]
- A reconfigurable FFT architecture for variable-length and multi-streaming OFDM standardsPadma Prasad Boopal, Mario Garrido, Oscar Gustafsson. 2066-2070 [doi]
- A floating gate graphene FET complementary inverter with symmetrical transfer characteristicsIme J. Umoh, Tom J. Kazmierski. 2071-2074 [doi]
- Power analysis attack of QCA circuits: A case study of the Serpent cipherWeiqiang Liu, Saket Srivastava, Liang Lu, Máire O'Neill, Earl E. Swartzlander Jr.. 2075-2078 [doi]
- A high-speed 2×VDD output buffer with PVT detection using 40-nm CMOS technologyChua-Chin Wang, Wen-Je Lu, Hsin-Yuan Tseng. 2079-2082 [doi]
- A monitoring circuit for NBTI degradation at 65nm technology nodeYanDong He, Jie Hong, Ganggang Zhang, Lin Han, Xing Zhang. 2083-2086 [doi]
- 3.5-D integration: A case studyShashikanth Bobba, Pierre-Emmanuel Gaillardon, Ciprian Seiculescu, Vasilis F. Pavlidis, Giovanni De Micheli. 2087-2090 [doi]
- A forward step for adaptive synchronization in directed complex networksWenwu Yu, Xinghuo Yu. 2091-2094 [doi]
- Convergence analysis of continuous-time systems based on feedforward neural networksYuzhu Huang, Derong Liu, Qinglai Wei. 2095-2098 [doi]
- Stability analysis of multiple equilibria for recurrent neural networks with time-varying delaysZhigang Zeng, Wei Xing Zheng. 2099-2102 [doi]
- Hybrid modelling of the general middle-sized genetic regulatory networksPei Wang, Renquan Lu, Yao Chen, Xiaoqun Wu. 2103-2106 [doi]
- Multi-Layer Perceptron including glial pulse and switching between learning and non-learningChihiro Ikuta, Yoko Uwate, Yoshifumi Nishio, Guoan Yang. 2107-2110 [doi]
- Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETsJian Zhang, Pierre-Emmanuel Gaillardon, Giovanni De Micheli. 2111-2114 [doi]
- Low-power and compact NP dynamic CMOS adder with 16nm carbon nanotube transistorsYanan Sun, Volkan Kursun. 2119-2122 [doi]
- CNTFET 8T SRAM cell performance with near-threshold power supply scalingZhe Zhang, José G. Delgado-Frias. 2123-2126 [doi]
- Self-checking ripple-carry adder with Ambipolar Silicon NanoWire FETOgun Turkyilmaz, Fabien Clermidy, Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli. 2127-2130 [doi]
- A two-stage low complexity face recognition system for face images with alignment errorsChing-Yao Su, Jar-Ferr Yang. 2131-2134 [doi]
- A highly effective error concealment method for whole frame lossChen Zhao, Siwei Ma, Jian Zhang, Wen Gao. 2135-2138 [doi]
- Rain removal from dynamic scene based on motion segmentationJie Chen, Lap-Pui Chau. 2139-2142 [doi]
- Adaptive general scale interpolation based on similar pixels weightingMading Li, Jiaying Liu, Jie Ren, Zongming Guo. 2143-2146 [doi]
- Underwater optical image dehazing using guided trigonometric bilateral filteringHuimin Lu, Yujie Li, Lifeng Zhang, Akira Yamawaki, Shiyuan Yang, Seiichi Serikawa. 2147-2150 [doi]
- High-throughput hardware-efficient soft-input soft-output MIMO detector for iterative receiversLiang Liu. 2151-2154 [doi]
- Approximate matrix inversion for high-throughput data detection in the large-scale MIMO uplinkMichael Wu, Bei Yin, Aida Vosoughi, Christoph Studer, Joseph R. Cavallaro, Chris Dick. 2155-2158 [doi]
- Multidimensional Householder based high-speed QR decomposition architecture for MIMO receiversIput Heri Kurniawan, Ji-Hwan Yoon, Jongsun Park. 2159-2162 [doi]
- Low-complexity decision directed method for carrier frequency offset estimation of IEEE 802.11adJunghyun Ha, Janghyuk Yoon, Ik Joon Chang, Jinsang Kim. 2163-2166 [doi]
- VLSI implementation of a low complexity 4×4 MIMO sphere decoder with table enumerationKai-Jiun Yang, Shang-Ho Tsai, Ruei-Ching Chang, Yan-Cheng Chen, Gene C. H. Chuang. 2167-2170 [doi]
- Harmonic signal recovery and order estimation based on cascaded sparse processingLu Wang, Guoan Bi. 2171-2174 [doi]
- A precedence effect based far-field DoA estimation algorithmWen-Sheng Chou, Tai-Shih Chi. 2175-2178 [doi]
- An approach for joint blind space-time equalization and DOA estimationIman Moazzen, Panajotis Agathoklis. 2183-2186 [doi]
- Sparse linear arrays for estimating and tracking DOAs of signals with known waveformsJian-Feng Gu, Wei-Ping Zhu, M. N. S. Swamy. 2187-2190 [doi]
- A low-power area-efficient compressive sensing approach for multi-channel neural recordingMahsa Shoaran, Mariazel Maqueda Lopez, Vijaya Sankara Rao Pasupureddi, Yusuf Leblebici, Alexandre Schmid. 2191-2194 [doi]
- Noise model of indirect-feedback sigma-delta image sensorsZhe Gao, John Liobe, Zeljko Ignjatovic, Mark F. Bocko. 2195-2198 [doi]
- Low-noise readout IC with integrated analog-to-digital conversion for radiation detection systemYingkan Lin, Milutin Stanacevic. 2199-2202 [doi]
- Scavenging thermal-noise energy for implementing long-term self-powered CMOS timersLiang Zhou, Pikul Sarkar, Shantanu Chakrabartty. 2203-2206 [doi]
- A compressive piezoelectric front-end circuit for self-powered mechanical impact detectorsPikul Sarkar, Shantanu Chakrabartty. 2207-2210 [doi]
- n+1} RNSJeremy Yung Shern Low, Thian Fatt Tay, Chip-Hong Chang. 2211-2214 [doi]
- An ultra-fast parallel architecture using sequential circuits computing on random bitsDaran Cai, Ang Wang, Ge Song, Weikang Qian. 2215-2218 [doi]
- Non-iterative high speed division computation based on Mitchell logarithmic methodJoshua Yung Lih Low, Ching-Chuen Jong. 2219-2222 [doi]
- Delay-variation-tolerant FIR filter architectures based on the Residue Number SystemIoannis Kouretas, Vassilis Paliouras. 2223-2226 [doi]
- High performance 3D-FFT implementationU. Nidhi, Kolin Paul, Ahmed Hemani, Anshul Kumar. 2227-2230 [doi]
- The swapping binary-window DAC switching technique for SAR ADCsYung-Hui Chung. 2231-2234 [doi]
- A single SAR ADC converting multi-channel sparse signalsWenjuan Guo, Youngchun Kim, Arindam Sanyal, Ahmed H. Tewfik, Nan Sun. 2235-2238 [doi]
- A 0.6V 8b 100MS/s SAR ADC with minimized DAC capacitance and switching energy in 65nm CMOSWen-Lan Wu, Yan Zhu, Li Ding, Chi-Hang Chan, U. Fat Chio, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. 2239-2242 [doi]
- A SAR ADC with energy-efficient DAC and tri-level switching schemeKuan-Ting Lin, Kea-Tiong Tang. 2243-2246 [doi]
- Analysis of back-end flash in a 1.5b/stage pipelined ADCManideep Gande, Jon Guerber, Un-Ku Moon. 2247-2250 [doi]
- Digitally controlled wide range pulse width modulator for on-chip power suppliesSelçuk Köse, Inna Vaisband, Eby G. Friedman. 2251-2254 [doi]
- How to reach 100% coding efficiency in multilevel burst-mode RF transmittersKatharina Hausmair, Shuli Chi, Christian Vogel. 2255-2258 [doi]
- Accurate time domain extraction of supercapacitor fractional-order model parametersTodd J. Freeborn, Brent Maundy, Ahmed S. Elwakil. 2259-2262 [doi]
- Coding efficiency of bandlimited PWM based burst-mode RF transmittersShuli Chi, Katharina Hausmair, Christian Vogel. 2263-2266 [doi]
- A broadband Variable Gain Amplifier for the Square Kilometer ArrayGe Wu, Leonid Belostotski, James W. Haslett. 2267-2270 [doi]
- A 890fJ/bit UWB transmitter for SOC integration in high bit-rate transcutaneous bio-implantsLieuwe B. Leene, Song Luan, Timothy G. Constandinou. 2271-2274 [doi]
- A statistical MAC protocol for heterogeneous-traffic human body communicationHong Chen, Ze-dong Nie, Kamen Ivanov, Lei Wang, Ran Liu. 2275-2278 [doi]
- An efficiency-enhanced wireless power transfer system with segmented transmitting coils for endoscopic capsuleYadong Huang, Jianfeng Wang, Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Zhihua Wang. 2279-2282 [doi]
- An ultra-low-power monitoring system for inductively coupled biomedical implantsKamyar Keikhosravy, Pouya Kamalinejad, Shahriar Mirabbasi, Kenichi Takahata, Victor C. M. Leung. 2283-2286 [doi]
- A Low-power wireless multi-channel surface EMG sensor with simplified ADPCM data compressionAlireza Yousefian, Sébastien Roy, Benoit Gosselin. 2287-2290 [doi]
- Theory and applications of complex networks: Advances and challengesJinhu Lu, Guanrong Chen, Maciej J. Ogorzalek, Ljiljana Trajkovic. 2291-2294 [doi]
- An adaptive routing algorithm for load balancing in communication networksJiajing Wu, Chi Kong Tse, Francis Chung-Ming Lau, Ivan Wang Hei Ho. 2295-2298 [doi]
- On the clustering coefficients of temporal networks and epidemic dynamicsJing Cui, Yi-Qing Zhang, Xiang Li. 2299-2302 [doi]
- Social learning with bounded confidence and probabilistic neighborsQipeng Liu, Xiaofan Wang. 2303-2306 [doi]
- New spectral graph theoretic conditions for synchronization in directed complex networksHui Liu, Ming Cao, Chai Wah Wu. 2307-2310 [doi]
- Towards reliable hybrid bio-silicon integration using novel adaptive control systemJunwen Luo, Patrick Degenaar, Graeme Coapes, Alex Yakovlev, Terrence S. T. Mak, Peter Andras. 2311-2314 [doi]
- Image processing by three-layer cellular neural networks with a new layer arrangementMuhammad Izzat bin Mohd Idrus, Yoshihiro Kato, Yoko Uwate, Yoshifumi Nishio. 2315-2318 [doi]
- Bifurcation analysis of delayed bidirectional associative memory neural networksMin Xiao, Wei Xing Zheng. 2319-2322 [doi]
- A model based comparison of BiFeO3 device applicability in neuromorphic hardwareLove Cederstroem, Paul Stärke, Christian Mayr, Yao Shuai, Heidemarie Schmidt, René Schüffny. 2323-2326 [doi]
- Voting base online sequential extreme learning machine for multi-class classificationJiuwen Cao, Zhiping Lin, Guang-Bin Huang. 2327-2330 [doi]
- Low-leakage hybrid FinFET SRAM cell with asymmetrical gate overlap / underlap bitline access transistors for enhanced read data stabilityShairfe Muhammad Salahuddin, Hailong Jiao, Volkan Kursun. 2331-2334 [doi]
- Design of nanosensing platform based on zinc oxide nanowire arraysAnurag Gupta, Mitchell Spryn, Bruce C. Kim, Eugene Edwards, Christina Brantley, Paul Ruffin. 2335-2338 [doi]
- SRAM device and cell co-design considerations in a 14nm SOI FinFET technologyBinjie Cheng, Xingsheng Wang, Andrew R. Brown, Jente B. Kuang, Dave Reid, Campbell Millar, Sani R. Nassif, Asen Asenov. 2339-2342 [doi]
- Statistical Electromigration analysis of a chip with the consideration of a within-die temperature mapTed Sun, Ayhan A. Mutlu, Mahmud Rahman. 2343-2346 [doi]
- Digital forensics for printed source identificationMin-Jen Tsai, Jung Liu. 2347-2350 [doi]
- Region incrementing visual secret sharing scheme based on random gridsGuan-Shi Zhong, Jian-Jun Wang. 2351-2354 [doi]
- Optimized learning rate for energy waste minimization in a background subtraction based surveillance systemMuhammad Umar Karim Khan, Chong-Min Kyung, Khawaja M. Yahya. 2355-2360 [doi]
- Depth map enhancement based on Z-displacement of objectsGwo Giun (Chris) Lee, Ciao-Siang Siao, Chunhui Cui, Chun-Fu Chen, Yan Huo, Huan-Hsiang Lin. 2361-2364 [doi]
- Self-adaptive scale transform for IQA metricKe Gu, Guangtao Zhai, Xiaokang Yang, Wenjun Zhang. 2365-2368 [doi]
- A 0.4V ultra low-power UWB CMOS LNA employing noise cancellationMahdi Parvizi, Karim Allidina, Frederic Nabki, Mourad N. El-Gamal. 2369-2372 [doi]
- An ultra-wideband receiving antenna arrayMalihe Zarre Dooghabadi, Håkon A. Hjortland, Tor Sverre Lande. 2373-2376 [doi]
- A high gain ultra-wideband low noise amplifier with 802.11a interference rejectionRo-Min Weng, Yi-Han Wu, Huo-Ying Chang. 2377-2379 [doi]
- A 3-5 GHz IR-UWB receiver front-end for wireless sensor networksTuan Anh Vu, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande. 2380-2383 [doi]
- Video object detection by model-based trackingDe-Kai Huang, Kwang-Yu Cheng, Shyi-Chyi Cheng. 2384-2387 [doi]
- Ground penetrating radar image enhancement using singular value decompositionMuhammad Mohsin Riaz, Abdul Ghafoor. 2388-2391 [doi]
- A multiple-candidate-regeneration-based object tracking system with enhanced learning capability by nearest neighbor classifierPushe Zhao, Hongbo Zhu, Tadashi Shibata.