Abstract is missing.
- A Multiple Charge Extractions and Multiple Precharge Interface Circuit for Piezoelectric Energy HarvestingYi Yang, Zhiyuan Chen 0002, Jingjing Liu, Ziyu Guo, Junmin Jiang, Xiaoyang Zeng. 1-5 [doi]
- Fast Affine Motion Estimation for VVC using Machine-Learning-Based Early Search TerminationAdson Duarte, Paulo Gonçalves, Luciano Agostini, Bruno Zatt, Guilherme Corrêa 0001, Marcelo Schiavon Porto, Daniel Palomino 0001. 1-5 [doi]
- Weighted Least-Squares Design of 2-D IIR Filters with Arbitrary Frequency Response using Iterative Second-Order Cone ProgrammingDarukeesan Pakiyarajah, Nadeeshan D. K. Dissanayake, Chamira U. S. Edussooriya, Chamith Wijenayake, Arjuna Madanayake. 1-5 [doi]
- Multiple Transform Selection Hardware Design for 4K@60fps Real-Time Versatile Video CodingBianca Silveira, Luiz Neto, Daniel Palomino 0001, Cláudio Machado Diniz, Guilherme Corrêa 0001. 1-5 [doi]
- A Radiation-Hardened Frequency-Locked Loop On-Chip Oscillator with 33.6ppm/°C Stability for Space ApplicationsFábio Passos, Rafael Vieira, António Canelas, Ricardo Póvoa, Nuno Lourenço 0003, Nuno Horta, Jorge Guilherme. 1-5 [doi]
- Performance-aware Lightweight Dynamic Early-Exit-based Gait AuthenticationPavlos Zouridakis, Sai Manoj Pudukotai Dinakarrao. 1-5 [doi]
- A maximally-digital VCO-ADC with inherent mixing input capabilityVictor Medina, Ruben Garvi, Eric Gutierrez, Luis Hernández 0003. 1-5 [doi]
- TAGAN: Texture and Attention Guided Generative Adversarial Network for Image Super ResolutionHaitao Wang, Jiande Sun 0001, Wenxiu Diao, Jing Li 0046, Kai Zhang 0010. 1-5 [doi]
- A 13-bit 1-MS/s SAR ADC With Rotation-Based Mismatch Error CancellationJing Zhang, Lulu Zhang, Xiong Zhou, Maurits Ortmanns, Qiang Li. 6-10 [doi]
- A SAR ADC with Reconfigurable Delay and Redundancy to Relax the Reference DriverYuting Shen, Hanyue Li, Eugenio Cantatore, Pieter Harpe. 11-15 [doi]
- Complexity Reduced LUT-Based DAC Correction in Continuous-Time Delta-Sigma ModulatorsBjoern Driemeyer, Julian Spiess, John G. Kauffman, Maurits Ortmanns. 16-20 [doi]
- A Fast Converging Correlation-Based Background Timing Skew Calibration Technique by Digital Windowing for Time-Interleaved ADCsYunsong Tao, Kareem Ragab, Jin Shao, Pengpeng Chen, Yi Zhong, Lu Jie, Nan Sun. 21-25 [doi]
- Hardware Implementation of Low Complexity High-speed Perceptron BlockRituparna Choudhury, Shaik Rafi Ahamed, Prithwijit Guha. 26-30 [doi]
- Accelerate Three-Dimensional Generative Adversarial Networks Using Fast AlgorithmZiqi Su, Wendong Mao, Zhongfeng Wang, Jun Lin, Wenqiang Wang, Haitao Sun. 31-35 [doi]
- An Energy-Efficient CNN Accelerator for Multi-object Real-Time Semantic Segmentation in Autonomous VehicleJueun Jung, Seungbin Kim, Wuyoung Jang, Hoichang Jeong, KyuHo Lee. 36-40 [doi]
- HLS-based dataflow hardware architecture for Support Vector Machine in FPGAMohammad Amir Mansoori, Mario R. Casu. 41-45 [doi]
- Robust CMOS Pseudo-resistor and its Applications in Bio-medical AmplifiersIsraa Y. AbuShawish, Soliman A. Mahmoud. 46-50 [doi]
- A Mixer-Supported Adaptable Silicon-Integrated Edge Coherent Photoacoustic System-on-Chip for Precise In Vivo Sensing and Enhanced Bio-ImagingZhongyuan Fang, Kai Tang 0002, Zesheng Zheng, Chuanshi Yang, Zhengyuan Zhang, Ting Guo, Yuanjin Zheng. 51-54 [doi]
- A 2.7μJ/classification Machine-Learning based Approximate Computing Seizure Detection SoCAbdul Muneeb, Mubashir Ali, Muhammad Awais Bin Altaf. 55-59 [doi]
- SNU-Net: a self-supervised deep learning method for pneumothorax segmentation on chest CTBo Xue, Zhiqin Liu, Qingfeng Wang, Qin Tang, Jun Huang 0005, Ying Zhou. 60-64 [doi]
- Robust Estimation of Respiratory Rate from Photoplethysmogram with Respiration Quality AnalysisMuhammad Ahmad Sultan, Wala Saadeh. 65-69 [doi]
- Characterization and Mitigation of IR-Drop in RRAM-based Compute In-MemoryBrian Crafton, Connor Talley, Samuel Spetalnick, Jong-Hyeok Yoon, Arijit Raychowdhury. 70-74 [doi]
- A CMOS-based Characterisation Platform for Emerging RRAM TechnologiesAndrea Mifsud, Jiawei Shen, Peilong Feng, Lijie Xie, Chaohan Wang, Yihan Pan, Sachin Maheshwari, Shady Agwa, Spyros Stathopoulos, Shiwei Wang, Alexander Serb, Christos Papavassiliou, Themis Prodromakis, Timothy G. Constandinou. 75-79 [doi]
- Beyond CMOS: Ternary and mixed radix CNTFET circuit design, simulation and verificationSteven Bos, Halvor Nybø Risto, Henning Gundersen. 80-85 [doi]
- A Correlated Double Sampling Technique for Charge-Sensitive Amplifiers based on TFTsMarco Fattori, Enrico Genco. 86-90 [doi]
- Analysis of VMM Operations on 1S1R Crossbar Arrays and the Influence of Wire ResistancesRana Walied Ahmad, Dirk J. Wouters, Christopher Bengel, Rainer Waser, Stephan Menzel. 91-95 [doi]
- A Practical and Design oriented approach to teaching circuitsJose Silva-Martinez, Marvin Onabajo, Ayesha Mayhugh. 96-100 [doi]
- Incorporating Immediate Online Feedback System and Concept Map into Electrical Circuits CourseAdel Al Weshah. 101-104 [doi]
- Overview of a new course on Autonomous Vehicle SystemsTokunbo Ogunfunmi. 105-109 [doi]
- Research Experience as a Way to Improve Retention and Graduation RatesRicardo Reis 0001. 110-113 [doi]
- Chaos in the Chua's Circuit Double-Hook AttractorZbigniew Galias. 114-117 [doi]
- Deep Recurrent Neural Networks for Building-Level Load ForecastingDaniele Linaro, Davide del Giudice, Federico Bizzarri, Angelo Brambilla. 118-122 [doi]
- Stability and Stabilization for a Class of Switched PWA Systems with Modal Average Dwell TimeYanzheng Zhu, Wei Xing Zheng 0001. 123-127 [doi]
- A Reconfigurable Depth-Wise Convolution Module for Heterogeneously Quantized DNNsLuca Urbinati, Mario R. Casu. 128-132 [doi]
- Hardware and Energy Efficiency Evaluation of NIST Lightweight Cryptography Standardization FinalistsIslam Elsadek, Sohrab Aftabjahani, Doug Gardner, Erik MacLean, John Ross Wallrabenstein, Eslam Yahya Tawfik. 133-137 [doi]
- Energy Efficiency Enhancement Of Parallelized Implementation of NIST Lightweight Cryptography Standardization FinalistsIslam Elsadek, Sohrab Aftabjahani, Doug Gardner, Erik MacLean, John Ross Wallrabenstein, Eslam Yahya Tawfik. 138-141 [doi]
- Multi-Channel FFT Architectures Designed via Folding and InterleavingNanda K. Unnikrishnan, Keshab K. Parhi. 142-146 [doi]
- Design of High Efficiency Planar Spiral Coil for Implantable Wireless Power Transfer SystemsWeicheng Zhao, Songping Mai. 147-151 [doi]
- Narrowband FSK Transceiver Circuit for Wireless Power and Data Transmission in Biomedical ImplantsXiaojun Ma, Songping Mai. 152-155 [doi]
- Design Strategy of Off-Resonant Tertiary Coils for Uplink Detection in Biomedical ImplantsSayan Sarkar, Yuan Yao, Wing-Hung Ki, Chi-Ying Tsui. 156-159 [doi]
- A novel Physical Unclonable Function using RTNEros Camacho-Ruiz, Rafael Castro-López, Elisenda Roca, Piedad Brox, F. V. Fernandez. 160-164 [doi]
- Low-Complexity AES Architectures Resilient to Power Analysis AttacksJingbo Zhou, Elsayed Elgendy, Eslam Yahya Tawfik, Xinmiao Zhang. 165-169 [doi]
- Spiking Neurons: A New Entropy Source for Physically Unclonable FunctionsHadis Takaloo, Majid Ahmadi, Arash Ahmadi. 170-174 [doi]
- Shadow PUFs: Generating Temporal PUFs with Properties Isomorphic to Delay-Based APUFsHaytham Idriss, Pablo Rojas, Sara Alahmadi, Tarek Idriss, Albert H. Carlson, Magdy A. Bayoumi. 175-179 [doi]
- Knowledge Graph Embedding and Visualization for Pre-Silicon Detection of Hardware TrojansDmitry Utyamishev, Inna Partin-Vaisband. 180-184 [doi]
- Fault Tolerance Evaluation of Different Majority Voter DesignsIngrid F. V. Oliveira, Matheus F. Pontes, Rafael B. Schvittz, S. Leomar Rosa, Paulo F. Butzen, Rafael Iankowski Soares. 185-189 [doi]
- FARA: A Fast Artifact Recovery Algorithm with Optimum Stimulation Waveform for Single-Cell Resolution Massively Parallel Neural InterfacesRohan Brash, Wouter A. Serdijn, Dante G. Muratore. 190-194 [doi]
- A 16-bit Encrypted On-chip Embedded System for Implantable Medical DevicesSayan Sarkar, Jingbo Jiang, Wing-Hung Ki, Chi-Ying Tsui. 195-199 [doi]
- Investigating Distinct Intensity Levels in Electrotactile Machine-to-Human CommunicationSina Parsnejad, Sylmarie Dávila-Montero, Ehsan Ashoori, Andrew J. Mason. 200-204 [doi]
- A Wearable Electrooculogram System with Parallel Motion Artifact Sensing and ReductionShibam Debbarma, Sharmistha Bhadra. 205-209 [doi]
- A Hybrid Memristor/CMOS SNN for Implementing One-Shot Winner-Takes-All TrainingJavad Ahmadi-Farsani, Saverio Ricci, Shahin Hashemkhani, Daniele Ielmini, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona. 210-214 [doi]
- MatPIM: Accelerating Matrix Operations with Memristive Stateful LogicOrian Leitersdorf, Ronny Ronen, Shahar Kvatinsky. 215-219 [doi]
- A general tree-based machine learning accelerator with memristive analog CAMGiacomo Pedretti, Sergey Serebryakov, John Paul Strachan, Catherine E. Graves. 220-224 [doi]
- Capacitive effects and memristive switching in three terminal multilayered MoS2 devicesM. Gater, Ali M. Adawi, Neil T. Kemp. 225-229 [doi]
- Selective Clock Gating Based on Comprehensive Power Saving AnalysisSora Park, Taewhan Kim. 230-231 [doi]
- A Digital Alias Cancellation Technique for Filtering-by-Aliasing ReceiversShi Bu, Vinod Kurian Jacob, Sudhakar Pamarti. 232-233 [doi]
- SQNR-based Layer-wise Mixed-Precision Schemes with Computational Complexity ConsiderationHa-Na Kim, Hyun Eun, Jung Hwan Choi, Ji-Hoon Kim. 234-235 [doi]
- A +12dBm Output Power and -97.5dBm Sensitivity 2.4G/5.8GHz BLE/BT Compliant Transceiver with RX/TX Co-Matching using BondwiresRuifeng Liu, Russell Mohn. 236-239 [doi]
- An Inductor-Less RF Transmitter Using Harmonic-Rejection Edge Combiner with -40 dBc HD3 and -52 dBc HD5 for Low-Power Biomedical ApplicationsGuo Wei, Keping Wang, Mengqian Cui, Hao Zhang. 240-244 [doi]
- A Receiver with Adiabatic and Harmonically Enriched Double-Frequency N-Path DriveTim Schumacher, Markus Stadelmayer, Harald Pretl. 245-248 [doi]
- MIXIC: a MIXed signal Interference Cancellation architecture to enhance a digitizer dynamic rangeBaptiste Laporte-Fauret, Guillaume Ferré, Dominique Dallet, Bryce Minger, Loïc Fuché. 249-253 [doi]
- SEE Sensitivity of a 16GHz LC-Tank VCO in a 22nm FinFET TechnologyDavid Dolt, Quintin Livingston, Tong Liu, Ankur Kumar, Samuel Palermo. 254-257 [doi]
- Improving the Precision of SORN Arithmetic by Introducing Fused OperationsMoritz Bärthel, Jochen Rust, John Gustafson, Steffen Paul. 258-262 [doi]
- Truncated Multiple Constant Multiplication with Minimal Number of Full AddersRémi Garcia 0002, Anastasia Volkova, Martin Kumm. 263-267 [doi]
- Power and Skew Reduction Using Resonant Energy Recycling in 14-nm FinFET ClocksDhandeep Challagundla, Mehedi Galib, Ignatius Bezzam, Riadul Islam. 268-272 [doi]
- An Open-source Three-Independent-Gate FET Standard Cell Library for Mixed Logic SynthesisRoman Gauchi, Ashton Snelgrove, Pierre-Emmanuel Gaillardon. 273-277 [doi]
- Exploring an Efficient Approach for Architecture-Level Thermal Simulation of Multi-core CPUsLin Jiang, Anthony Dowling, Yu Liu, Ming-C. Cheng. 278-282 [doi]
- A 0.7 μm-Pitch 108 Mpixel Nonacell-Based CMOS Image Sensor with Decision-Feedback TechniqueJaehoon Jun, Haneol Seo, Hyukbin Kwon, Jongyeon Lee, Beomsoo Yoon, Youngwoo Lee, Yongbin Kim, Woong Joo, Jesuk Lee, Kyoungmin Koh. 283-287 [doi]
- A 1/1.33-inch 108Mpixel CMOS Image Sensor with 0.8um unit NONACELL pixelsJaejin Jung, Sin-Hwan Lim, Jiyong Kim, Kwisung Yoo, Wontak Choi, Youngsun Oh, Juhyun Ko, Kyoungmin Koh. 288-291 [doi]
- A Differential SPAD Array Architecture in 0.18 μm CMOS for HDR ImagingMel J. White, Shahaboddin Ghajari, Tianyi Zhang, Akshat Dave, Ashok Veeraraghavan, Alyosha C. Molnar. 292-296 [doi]
- An Adaptable Mixer-Enabled VCO-Based Edge Sensing Platform for Agile Pulse MonitoringZhongyuan Fang, Kai Tang 0002, Yanshu Guo, Wensong Wang, Yuanjin Zheng. 297-300 [doi]
- Parallelizing Optical Flow Estimation on an Ultra-Low Power RISC-V Cluster for Nano-UAV NavigationJonas Kühne, Michele Magno, Luca Benini. 301-305 [doi]
- A Novel Approach in Edge Computing: In-Memory Sensing of Cancer MarkersDavid Heim, Gian Luca Barbruni, Sandro Carrara. 306-310 [doi]
- Double Magnetic Tunnel Junction-Based Nonvolatile LogicAbdelrahman G. Qoutb, Eby G. Friedman. 311-315 [doi]
- Intrinsic Lateral Inhibition Facilitates Winner-Take-All in Domain Wall Racetrack Arrays for Neuromorphic ComputingCan Cui, Otitoaleke G. Akinola, Naimul Hassan, Christopher H. Bennett, Matthew J. Marinella, Joseph S. Friedman, Jean Anne C. Incorvia. 316-320 [doi]
- Combinatorial Optimization in Hopfield Networks with Noise and Diagonal PerturbationsSu-In Yi, Suhas Kumar, R. Stanley Williams. 321-325 [doi]
- Experimental verification and benchmark of in-memory principal component analysis by crosspoint arrays of resistive switching memoryPiergiulio Mannocci, Andrea Baroni, Enrico Melacarne, Cristian Zambelli, Piero Olivo, Eduardo Pérez, Christian Wenger, Daniele Ielmini. 326-330 [doi]
- A High-Speed ADC for a Multi-Band 5G V2X Wireless ReceiverSeyedeh Masoumeh Navidi, Hamza Al Maharmeh, Samad Parekh, Ali Wehbi, Mohammad Alhawari, Mohammed Ismail 0001. 331-335 [doi]
- TDD-based Asymmetrical Ethernet Physical Layer for Automotive ApplicationsKamal Dalmia, Claude R. Gauthier. 336-340 [doi]
- Design of a LiDAR point cloud data processing system for power line extraction on FPGAXuecheng Wang, Xinjian Wang, Yizheng Wei, Milin Zhang. 341-345 [doi]
- A Novel E/E Architecture for Low Altitude UAVsRakesh Shrestha, Dohyun Kim, Junghwan Choi, Shiho Kim. 346-350 [doi]
- Holistic approaches to memory solutions for the Autonomous Driving EraDaeyong Shim, Chunseok Jeong, Euncheol Lee, Junmo Kang, Seokcheol Yoon, Yongkee Kwon, Il Park 0001, Hyun Ahn, Seonyong Cha, Jinkook Kim. 351-355 [doi]
- Hardware Implementation of Stochastic Computing-based Morphological Neural SystemsJosep L. Rosselló, Joan Font-Rosselló, Christiam F. Frasser, Alejandro Morán, Erik S. Skibinsky-Gitlin, Vicent Canals, Miquel Roca. 356-360 [doi]
- Evaluation of Dual Mode Logic Under Cryogenic TemperaturesInbal Stanger, Noam Roknian, Yonatan Shoshan, Zafrir Levy, Yoav Weizman, Edoardo Charbon, Adam Teman, Alexander Fish. 361-364 [doi]
- A 161.6 TOPS/W Mixed-mode Computing-in-Memory Processor for Energy-Efficient Mixed-Precision Deep Neural NetworksWooyoung Jo, Sangjin Kim, Juhyeong Lee, Soyeon Um, Zhiyong Li, Hoi-Jun Yoo. 365-369 [doi]
- A Fast Compressed Hardware Architecture for Deep Neural NetworksAnaam Ansari, Allen Shelton, Tokunbo Ogunfunmi, Vineet Panchbhaiyye. 370-374 [doi]
- Improving Energy Efficiency of Convolutional Neural Networks on Multi-core Architectures through Run-time ReconfigurationYan Xiong, J. Li, David T. Blaauw, H. S. Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti. 375-379 [doi]
- Hardware calibrated learning to compensate heterogeneity in analog RRAM-based Spiking Neural NetworksFilippo Moro, Eduardo Esmanhotto, Tifenn Hirtzlin, Niccolo Castellani, A. Trabelsi, Thomas Dalgaty, Gabriel Molas, François Andrieu, Stefano Brivio, Sabina Spiga, Giacomo Indiveri, Melika Payvand, Elisa Vianello. 380-383 [doi]
- Fundamental Limits on the Computational Accuracy of Resistive Crossbar-based In-memory ArchitecturesSaion K. Roy, Ameya Patil, Naresh R. Shanbhag. 384-388 [doi]
- Interconnect Parasitics and Partitioning in Fully-Analog In-Memory Computing ArchitecturesMd Hasibul Amin, Mohammed Elbtity, Ramtin Zand. 389-393 [doi]
- RS3DPlace: Monolithic 3D IC placement using Reinforcement Learning and Simulated AnnealingAbdullah Mansoor, Malgorzata Chrzanowska-Jeske. 394-398 [doi]
- Video Decoder Improvements with Near-Data Speculative Motion Compensation ProcessingGarrenlus de Souza, José Rodrigo Azambuja, Bruno Zatt, Marco A. Zanata, Sergio Bampi, Felipe Sampaio. 399-403 [doi]
- Coupling Convolution, Transformer and Graph Embedding for Motor Imagery Brain-Computer InterfacesZexu Wu, Biao Sun, Xinshan Zhu. 404-408 [doi]
- ReverSearch: Search-based energy-efficient Processing-in-Memory ArchitectureWeihang Li, Liang Chang 0002, Jiajing Fan, Xin Zhao, Hengtan Zhang, Shuisheng Lin, Jun Zhou 0017. 409-413 [doi]
- Antidictionary-Based Cardiac Arrhythmia Classification For Smart ECG sensorsJulien Duforest, Benoît Larras, Antoine Frappé, Chacko John Deepu, Olev Märtens. 414-418 [doi]
- Part-level Action Parsing via a Pose-guided Coarse-to-Fine FrameworkXiaodong Chen, Xinchen Liu, Wu Liu, Kun Liu 0016, Dong Wu, Yongdong Zhang 0001, Tao Mei 0001. 419-423 [doi]
- Anime Character Recognition using Intermediate Features AggregationEdwin Arkel Rios, Min-Chun Hu 0001, Bo-Cheng Lai. 424-428 [doi]
- GPU-Acceleration of Affine Prediction in the Versatile Video CodingIago Storch, Daniel Palomino 0001, Sergio Bampi. 429-433 [doi]
- A 0.2 dBm 225 GHz Frequency Quadrupler with 330° Phase Control in 130 nm SiGe BiCMOSLuca Steinweg, Florian Protze, Paolo Valerio Testa, Corrado Carta, Frank Ellinger. 434-437 [doi]
- Compact N-Way Doherty Power Combiners for mm-wave 5G TransmittersAnil Kumar Kumaran, Hossein Mashad Nemati, Leo C. N. de Vreede, Morteza S. Alavi. 438-442 [doi]
- Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous ProcessorDaniel W. Bliss, Tutu Ajayi, Ali Akoglu, I. Aliyev, Toygun Basaklar, Leul Belayneh, David T. Blaauw, John Brunhaver, Chaitali Chakrabarti, L. Chang, Kuan-Yu Chen, M.-H. Chen, X. Chen, Alex R. Chiriyath, Alhad Daftardar, Ronald G. Dreslinski, Arindam Dutta, Allen-Jasmin Farcas, Y. Fu, A. Alper Goksoy, X. He, Md. S. Hassan, Andrew Herschfelt, Jacob Holtom, H. S. Kim, A. N. Krishnakumar, Y. Li, Owen Ma, Joshua Mack, Saurav Mallik, Sumit K. Mandal, Radu Marculescu, B. McCall, Trevor N. Mudge, Ümit Y. Ogras, V. Pandey, S. Siddiqui, Yu-Hsiu Sun, Adarsh Venkataramani, X. Wei, B. R. Willis, Hanguang Yu, Yufan Yue. 443-447 [doi]
- Integration of Reconfigurable RF Manifolds with Software Defined SystemsC. L. A. Cerny. 448-451 [doi]
- A novel OFDM-based Radar and Communication System Design using Digital IQ-Modulation and 52 GS/s Direct-RF Data ConverterSilvio Waldmann, Helia Ordouei, Friedel Gerfers. 452-456 [doi]
- Soft Error Reliability Assessment of Lightweight Cryptographic Algorithms for IoT Edge DevicesVinícius Da Rocha, Nicolas Moura, Jonas Gava, Vitor V. Bandeira, Luciano Ost, Ricardo Augusto da Luz Reis, Rafael Garibotti. 457-460 [doi]
- XFeed PUF: A Secure and Efficient Delay-based Strong PUF Using Cross-Feed ConnectionsTarek Idriss, Alex Gavin, Adrian Gabales, Haytham Idriss, Magdy A. Bayoumi. 461-465 [doi]
- Stochastic Selection of Responses for Physically Unclonable FunctionsPablo Rojas, Haytham Idriss, Sara Alahmadi, Magdy A. Bayoumi. 471-475 [doi]
- Stochastic dendrites enable online learning in mixed-signal neuromorphic processing systemsMatteo Cartiglia, Arianna Rubino, Shyam Narayanan, Charlotte Frenkel, Germain Haessig, Giacomo Indiveri, Melika Payvand. 476-480 [doi]
- Hierarchical Multicast Network-On-Chip for Scalable Reconfigurable Neuromorphic SystemsGopabandhu Hota, Nishant Mysore, Stephen R. Deiss, Bruno U. Pedroni, Gert Cauwenberghs. 481-485 [doi]
- Embedded Processing Pipeline Exploration For Neuromorphic Event Based Perceptual SystemsJonah P. Sengupta, Martin Villemur, Philippe O. Pouliquen, Pedro Julián, Andreas G. Andreou. 486-490 [doi]
- A memory-based entorhinal-hippocampal model and its FPGA implementation by on-chip RAMsIchiro Kawashima, Katsumi Tateno, Takashi Morie, Hakaru Tamukoh. 491-495 [doi]
- HDC8192: A General Purpose Mixed-Signal CMOS Architecture for Massively Parallel Hyperdimensional ComputingDaniel García-Lesta, F. Pardo, Óscar Pereira-Rial, Víctor M. Brea 0001, P. López. 496-500 [doi]
- An Attention Based CNN with Temporal Hierarchical Deployment for AVS3 Inter In-loop FilteringYibing Fu, Shen Wang, Chen Zhu, Li Song 0001, Wenjun Zhang 0001. 501-505 [doi]
- Complexity Reduction of Learned In-Loop Filtering in Video CodingWoody Bayliss, Luka Murn, Ebroul Izquierdo, Qianni Zhang, Marta Mrak. 506-510 [doi]
- Neural Network-based Error Concealment for B-Frames in VVCMartin Benjak, Niklas Aust, Yasser Samayoa, Jörn Ostermann. 511-515 [doi]
- A Lightweight Model with Separable CNN and LSTM for Video PredictionMareeta Mathai, Ying Liu 0022, Nam Ling. 516-520 [doi]
- A Template Matching based Extension for Merge with Motion Vector DifferenceMehdi Salehifar, Yuwen He, Kai Zhang, Na Zhang, Li Zhang. 521-525 [doi]
- Fast & Efficient Hysteretic Power Supplies for IoT Microsensors: Analysis & Design with InsightTianyu Chang, Gabriel A. Rincón-Mora. 526-530 [doi]
- A Highly Efficient Fully Integrated Active Rectifier for Ultrasonic Wireless Power TransferXinling Yue, Zhelun Chen, Yiwei Zou, Sijun Du. 531-535 [doi]
- A 50% Ripple Reduction Hybrid Multiphase Interleaving SC Converter with Bottom-Plate Charge SharingMohith Amara, Gajendranath Chowdary. 536-540 [doi]
- A 2.45 GHz Dual-Path CMOS RF-to-DC Rectifier with 27 dB Input Range and -20.7 dBm SensitivityXiaguang Li, Keping Wang, Yixin Zhou, Hao Zhang. 541-545 [doi]
- Converter Topologies for On-Package Voltage StackingNurzhan Zhuldassov, Kan Xu, Eby G. Friedman. 546-550 [doi]
- A Robust Hybrid CT/DT 0-2 MASH DSM with Passive Noise-Shaping SAR ADCKe Li, Sai-Weng Sin, Liang Qi, Weibing Zhao, Guoxing Wang, Rui Paulo Martins. 551-555 [doi]
- A 2-0 MASH Delta-Sigma ADC with sub-sampling SAR ADCAnne Engerer, Antonios Nikas, Matthias Völker. 556-560 [doi]
- Maximizing the Inter-Stage Gain in CT 0-X MASH Delta-Sigma-ModulatorsJonathan Ungethüm, Michael Pietzko, John G. Kauffman, Qiang Li, Maurits Ortmanns. 561-565 [doi]
- Bitwise ELD Compensation in Δ∑ ModulatorsMichael Pietzko, Jonathan Ungethüm, John G. Kauffman, Qiang Li, Maurits Ortmanns. 566-570 [doi]
- Automated Design of Sigma-Delta Modulators with FIR FeedbackJohannes Wagner 0003, Mohamed A. Mokhtar, Maurits Ortmanns. 571-575 [doi]
- SCiMA: A Generic Single-Cycle Compute-in-Memory Acceleration Scheme for Matrix ComputationsSepehr Tabrizchi, Shaahin Angizi, Arman Roohi. 576-580 [doi]
- Reliability Improvement in RRAM-based DNN for Edge ComputingMd. Oli-Uz-Zaman, Saleh Ahmad Khan, Geng Yuan, Yanzhi Wang, Zhiheng Liao, Jingyan Fu, Caiwen Ding, Jinhui Wang. 581-585 [doi]
- A 12TOPS/W Computing-in-Memory Accelerator for Convolutional Neural NetworksJun-Hui Fu, Soon-Jyh Chang. 586-589 [doi]
- Fast and Scalable Memristive In-Memory Sorting with Column-Skipping AlgorithmLianfeng Yu, Zhaokun Jing, Yuchao Yang, Yaoyu Tao. 590-594 [doi]
- Reliability-Improved Read Circuit and Self-Terminating Write Circuit for STT-MRAM in 16 nm FinFETChang Xue, Yihan Zhang, Peiyu Chen, Mingwei Zhu, Tianqiao Wu, Meng Wu, YanDong He, Le Ye. 595-599 [doi]
- Toward a Highly Scalable Smart System for Small Animal Body Sensing and Tracking using an Inductive Multi-Resonator ArrayReepa Saha, Sahaj Anilbhai Patel, Abidin Yildirim, S. Abdollah Mirbozorgi. 600-604 [doi]
- A Wide-Range Low-cost Temperature to Digital Converter Independent of Device ModelsMona Ganji, Marampally Saikiran, Degang Chen 0001. 605-609 [doi]
- A Machine Learning Smartphone-based Sensing for Driver Behavior ClassificationSarra Ben Brahim, Hakim Ghazzai, Hichem Besbes, Yehia Massoud. 610-614 [doi]
- Design of a Multi-Sensor Framework for the Real-time Monitoring of Social InteractionsSylmarie Dávila-Montero, Sina Parsnejad, Ehsan Ashoori, Derek Goderis, Andrew J. Mason. 615-619 [doi]
- A Versatile In-Ear Biosensing System for Continuous Brain and Health MonitoringAkshay Paul, Min S. Lee, Yuchen Xu, Stephen R. Deiss, Gert Cauwenberghs. 620-624 [doi]
- Spatiotemporal Spike Pattern Detection with Second-order Memristive SynapsesYuting Wu, Sangmin Yoo, Fan-Hsuan Meng, Wei D. Lu. 625-628 [doi]
- A Fully Memristive Spiking Neural Network with Unsupervised LearningPeng Zhou, Dong-Uk Choi, Jason Kamran Eshraghian, Sung-Mo Kang. 634-638 [doi]
- Equilibrium Propagation and (Memristor-based) Oscillatory Neural NetworksGianluca Zoppo, Francesco Marrone, Michele Bonnin, Fernando Corinto. 639-643 [doi]
- A PV-assisted 10-mV Startup Boost Converter for Thermoelectric Energy HarvestingYansong Liang, Ruizhi Wang, Zhongsheng Chen, Sijun Du. 644-648 [doi]
- A Reconfigurable Cold-Startup SSHI Rectifier with 4X Lower Input Amplitude Requirement for Piezoelectric Energy HarvestingXinling Yue, Yiwei Zou, Zhelun Chen, Junrui Liang, Sijun Du. 649-653 [doi]
- Fully Differential Power-Efficient AB Miller Op-amp for a Wide Range of Capacitive and Resistive LoadsAnindita Paul, Jaime Ramírez-Angulo, Héctor Vázquez-Leal, Jesus Huerta-Chua, Alejandro Díaz-Sánchez. 654-658 [doi]
- th-order Active-RC Bandpass Filter with Programmable Bandwidth and Center Frequency for Synthetic Aperture Radar ApplicationTing Guo, Kai Tang 0002, Zhongyuan Fang, Yuanjin Zheng. 659-662 [doi]
- Analog Correlator by a Dynamically-Reconfigured Switched-Capacitor CircuitFuminori Kobayashi. 663-666 [doi]
- Hardware Security Vulnerability in Analog Signal Chain FiltersKwabena Oppong Banahene, Matthew R. Strong, Bryce Gadogbe, Degang Chen 0001, Randall L. Geiger. 667-671 [doi]
- A Templated VHDL Architecture for Terabit/s P4-programmable FPGA-based Packet ParsingParisa Mashreghi-Moghadamy, Tarek Ould-Bachirz, Yvon Savariay. 672-676 [doi]
- MinAC: Minimal-Area Approximate Compressor Design Based on Exact Synthesis for Approximate MultipliersXuan Wang, Weikang Qian. 677-681 [doi]
- Artificial Neural Network Based Post-CTS QoR Report PredictionArpit Jain, Pabitra Das, Amit Acharyya. 682-686 [doi]
- A 0.45 pJ/bit 20 Gb/s/Wire Parallel Die-to-Die Interface with Rotary Traveling Wave OscillatorsRagh Kuttappa, Baris Taskin. 687-691 [doi]
- Resonant Rotary Clock Synchronization with Active and Passive Silicon InterposerRagh Kuttappa, Baris Taskin, Vinayak Honkote, Satish Yada, Jainaveen Sundaram, Dileep Kurian, Tanay Karnik, Anuradha Srinivasan. 692-696 [doi]
- DLPrPPG: Development and Design of Deep Learning Platform for Remote PhotoplethysmographyBo-Rong Yan, Edwin Arkel Rios, Wen-Hsien Lee, Bo-Cheng Lai. 697-701 [doi]
- Design of a 5-bit Signed SRAM-based In-Memory Computing Cell for Deep Learning ModelsÓscar Pereira-Rial, Daniel García-Lesta, Víctor M. Brea 0001, P. López, Diego Cabello. 702-706 [doi]
- A 4-bit Integer-Only Neural Network Quantization Method Based on Shift Batch NormalizationQingyu Guo, Xiaoxin Cui, Jian Zhang, Aifei Zhang, Xinjie Guo, Yuan Wang. 707-711 [doi]
- A Non-conventional Sum-and-Max based Neural Network layer for Low Power ClassificationLuciano Prono, Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 712-716 [doi]
- A 120dB Programmable-Range On-Chip Pulse Generator for Characterizing Ferroelectric DevicesShyam Narayanan, Erika Covi, Viktor Havel, Charlotte Frenkel, Suzanne Lancaster, Quang T. Duong, Stefan Slesazeck, Thomas Mikolajick, Melika Payvand, Giacomo Indiveri. 717-721 [doi]
- Car Traffic Monitoring Using Discrete Frequency Chirp Bluetooth RadarGerrit Maus, Jöran Schirmer, René Ahrens, Stefan Janicke, Dieter Brückmann. 722-726 [doi]
- Exploiting a Blink of Measurement Saturation Towards Hardware-Efficient Compressed Sensing Encoder DesignYunxiang Zhang, Jian Xu, Miao Hu, Wenfeng Zhao. 727-731 [doi]
- An Attention-Based Network for Single Image HDR ReconstructionMohamed Dafaallah, Hui Yuan, Shiqi Jiang, Ye Yang. 732-736 [doi]
- Joint Representation Learning for Anomaly Detection in Surveillance VideosSavath Saypadith, Takao Onoye. 737-741 [doi]
- Design of a mmWave Digital Baseband Receiver Integrated with WOLA-CP-OFDM TechniqueKang-Lun Chiu, Hsun-Wei Chan, Hsuan-Ping Chiu, Chun-Yi Liu 0001, Chih-Wei Jen, Shyh-Jye Jou. 742-746 [doi]
- LO Synchronization Scheme via Full-Duplex Transceiver for Distributed Beamforming in Wireless Ad hoc NetworksOlalekan Afuye, Shimin Huang, Ken Ho, Alyosha C. Molnar, Alyssa B. Apsel. 747-751 [doi]
- PAM-4/6/8 Performance and Power Analysis for Next Generation 224Gbit/s LinksUrs Hecht, Enne Wittenhagen, Halil Cirit, Saman Behtash, Srinivas Venkataram, Friedel Gerfers. 752-756 [doi]
- An 8GHz Communication/Ranging IR-UWB Transmitter with Asymmetric Pulse Shaping and Frequency Hopping for Fine Ranging and Enhanced Link MarginSu Han, Bowen Wang, Woogeun Rhee, Zhihua Wang 0001. 757-760 [doi]
- Digital-to-analog converters to benchmark the matching performance of a new zero-cost transistorPaul Devoge, Hassen Aziza, Philippe Lorenzini, Alexandre Malherbe, Franck Julien, Abderrezak Marzaki, Arnaud Régnier, Stephan Niel. 761-764 [doi]
- A 0.5 V, 0.13 nW, 4-Transistor Over Temperature Protection Circuit for SoCsIndranil Bhattacharjee, Mohith Amara, Gajendranath Chowdary. 765-769 [doi]
- Programmable Refractory Period Implementations in a Mixed-Signal Integrate-And-Fire NeuronNishith N. Chakraborty, Garrett S. Rose, Min H. Kao. 770-774 [doi]
- Noise Optimization of a Resistively-Driven Ring Oscillator for VCO-Based ADCsJonas Borgmans, Pieter Rombouts. 775-779 [doi]
- A Fast Reference-Tracking Buck Converter for 5G Power Amplifier Supply ModulatorQizhun Zhou. 780-783 [doi]
- On the application of Quanta Imaging acquisition to spiking luminance sensorsR. J. Méndez-Romero, Juan Antonio Leñero-Bardallo, Ángel Rodríguez-Vázquez. 784-788 [doi]
- Bio-inspired acoustic sensor with gain adaptation enhancing dynamic range and onset detectionSteve Durstewitz, Claudia Lenk, Martin Ziegler 0006. 789-793 [doi]
- Mobile-URSONet: an Embeddable Neural Network for Onboard Spacecraft Pose EstimationJulien Posso, Guy Bois, Yvon Savaria. 794-798 [doi]
- Selective Input Sparsity in Spiking Neural Networks for Pattern ClassificationAlexander J. Leigh, Moslem Heidarpur, Mitra Mirhassani. 799-803 [doi]
- Fusing Frame and Event Vision for High-speed Optical Flow for Edge ApplicationAshwin Sanjay Lele, Arijit Raychowdhury. 804-808 [doi]
- Towards Wave Digital Modeling of Neural Pathways Using Two-Port Coupling NetworksKarlheinz Ochs, Bakr Al Beattie. 809-812 [doi]
- Modeling Compute Storage Quality of Service and Latency using Sigmoid FunctionsSterling Hansen, Morgan Githinji, Etienne Elie, Charles Anyimi. 813-818 [doi]
- Estimation of inertia in power grids with turbine governorsValentina Baruzzi, Matteo Lodi, Alberto Oliveri, Marco Storace. 819-823 [doi]
- ViSTRA3: Video Coding with Deep Parameter Adaptation and Post ProcessingChen Feng, Duolikun Danier, Charlie Tan, Fan Zhang, David R. Bull. 824-828 [doi]
- Learned Video Compression for YUV 4: 2: 0 Content Using Flow-based Conditional Inter-frame CodingYung-Han Ho, Chih-Hsuan Lin, Peng-Yu Chen, Mu-Jung Chen, Chih-Peng Chang, Wen-Hsiao Peng, Hsueh-Ming Hang. 829-833 [doi]
- Improvements to a temporal filter for video codingJack Enhorn, Christopher Hollmann, Rickard Sjöberg, Kenneth Andersson, Per Wennersten. 834-838 [doi]
- A Digitally-Controlled Fully Differential Low Noise Current SourceMaximilian Scherzer, Mario Auer. 839-842 [doi]
- °C Current ReferenceDarshan Shetty, Christoph Steffan, Wolfgang Bösch, Jasmin Grosinger. 843-847 [doi]
- An Enhanced Start-up Circuit Eliminating All Trojan States in Self-biased Reference GeneratorsBaijie Zhang, Jue Wang, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng. 848-851 [doi]
- Power Efficient Echo-Cancellation Based Hybrid for Full-Duplex Chip-to-Chip InterconnectsPrema Kumar Govindaswamy, Nijwm Wary, Vijaya Sankara Rao Pasupureddi. 852-856 [doi]
- A Low-Power Half-Rate Charge-Steering Hybrid for Full-Duplex Chip-to-Chip InterconnectsPrema Kumar Govindaswamy, Nijwm Wary, Vijaya Sankara Rao Pasupureddi. 857-861 [doi]
- The System of Personalized Learning Resource Recommendation and Experimental Teaching Based on Collaborative FilteringBin Sun, Hua Tan, Dongxiao Yang, Yu Zhang, Yefei Wu, Xinyu Jin. 862-866 [doi]
- Research and Practice of Grain Depot Ecological Precision Measurement and Control Experimental Teaching System based on 5G Edge CalculationBin Sun, Hongtuo Han, Yuncheng Jin, Yusun Zhou, Ziyuan Wang, Xinyu Jin. 867-870 [doi]
- A Novel CMOS-SOI High-Responsivity Thermopile for Thermal Sensing ApplicationsElisabetta Moisello, Michele Vaiana, Maria Eloisa Castagna, Antonella La Malfa, Giuseppe Bruno, Edoardo Bonizzoni, Piero Malcovati. 871-875 [doi]
- Dynamic Tuning of Sensitivity and Bandwidth of High-Q Transducers via Nested Phase ModulationsAidan Fitzpatrick, Ajay Singhvi, Amin Arbabian. 876-880 [doi]
- A One-Point Exponential Trimming Technique for an Effective Suppression of Process Spread in BJT-based Temperature Processing CircuitsAntonio Aprile, Michele Folz, Daniele Gardino, Piero Malcovati, Edoardo Bonizzoni. 881-884 [doi]
- Dual Square Root Unscented Kalman Filter based Single Channel Blind Source Separation MethodologyRashi Dutt, Amit Acharyya, Israr Sheikh. 885-889 [doi]
- Real-time Power Amplifier Impairment Assessment using Nonlinear Polynomial with MemoryIbrahim Fatungase, Jean-Francois Bousquet. 890-894 [doi]
- Real-time FPGA Implementation of a Second order Volterra Filter for Ultrasound Nonlinear ImagingAbhishek Sahoo, Emad S. Ebbini. 895-899 [doi]
- Concurrent Effect of Redundancy and Switching Algorithms in SAR ADCsLuca Ricci, Lorenzo Scaletti, Gabriele Bè, Luca Bertulessi, Salvatore Levantino, Carlo Samori, Andrea Bonfanti. 900-904 [doi]
- Integrated Foreground Calibration for a High Speed Time Interleaved SAR ConverterLeonhard Klein, Matthias Voelker. 905-909 [doi]
- An input folding high speed cyclic ADC for column-parallel readout in CMOS image sensorsAmandeep Kaur 0005, Mukul Sarkar. 910-914 [doi]
- An Overshoot Voltage Reduction Technique with Improved Speed for Zero-Crossing Detector in Pipeline ADCsCerin Ninan Kunnatharayil, Umut Baris Gogebakan, Omer Ceylan, Yasar Gurbuz. 915-919 [doi]
- Rail-to-rail digital to analog converter with shared binary weighted resistive load interpolationWei Wang 0305, Sameer Sonkusale. 920-923 [doi]
- Sensitivity to Threshold Voltage Variations of Exact and Incomplete Prefix Addition TreesKleanthis Papachatzopoulos, Vassilis Paliouras. 924-928 [doi]
- A 0.9-Nyquist-Band Digital Timing Mismatch Correction for Time-Interleaved ADCs Achieving Delay Tuning Range of 0.12-Sample-PeriodIlia Kempi, Okko Järvinen, Marko Kosunen, Vishnu Unnikrishnan, Kari Stadius, Jussi Ryynänen. 929-933 [doi]
- Implementation of High Performance IEEE 754-Posit Conversion HardwareBrett Mathis, James E. Stine. 934-937 [doi]
- A 512-Channel Neural Signal Acquisition ASIC for High-Density ElectrophysiologyAikaterini Papadopoulou, John Hermiz, Carl Grace, Peter Denes. 938-942 [doi]
- Inverter-Based Pseudo-Differential Reconfigurable Pixel Circuit Array for Multimodal and High-Density Neural RecordingsTaeju Lee, Minkyu Je. 943-946 [doi]
- Towards a Fully Implantable Closed-Loop Opto-Electro Stimulation Interface for Motor Neuron Disease TreatmentFangqi Liu, Dai Jiang, Andreas Demosthenous. 947-950 [doi]
- A High-Voltage ASIC for Ultrasound Neuromodulation with a Piezoelectric TransducerHesam Sadeghi Gougheri, Mehdi Kiani. 951-955 [doi]
- A Reconfigurable 13.56MHz Wireless Powered CMOS Integrated Nerve StimulatorYonatan Kifle, J. Jacob Wikner. 956-959 [doi]
- HYPERLOCK: In-Memory Hyperdimensional Encryption in Memristor Crossbar ArrayJack Cai, Amirali Amirsoleimani, Roman Genov. 960-964 [doi]
- Hybrid CMOS/Memristor Front-End for Multiunit Activity ProcessingJiaqi Wang, Alexander Serb, Shiwei Wang, Themistoklis Prodromakis. 965-969 [doi]
- Offset Rejection in a DC-Coupled Hybrid CMOS/Memristor Neural Front-EndJiaqi Wang, Alexander Serb, Shiwei Wang, Themistoklis Prodromakis. 970-974 [doi]
- Beneficial Role of Noise in Hf-based MemristorsRosana Rodríguez, Javier Martín-Martínez, Emili Salvador Aguilera, Albert Crespo-Yepes, Enrique Miranda 0002, Montserrat Nafría, Antonio Rubio, Vasileios G. Ntinas, Georgios Ch. Sirakoulis. 975-979 [doi]
- Design of A New Memristive-Based Architecture Using VTM MethodFarzad Mozafari, Majid Ahmadi, Arash Ahmadi. 980-984 [doi]
- A 14-Gb/s PAM4 Reference-Less Half-Baud-Rate CDRMehdi Noormohammadi Khiarak, Benoit Gosselin. 985-989 [doi]
- Circuit Bandwidth Requirements for NRZ and PAM4 SignalsMahdi Forghani, Behzad Razavi. 990-994 [doi]
- Single-Event Transient Tolerant Optical Receiver Using Triple Modular RedundancySami Sattar, Glenn Cowan. 995-999 [doi]
- A Hybrid CMOS Photonic 25Gbps Microring Transmitter with a -0.5-1.2V Direct-Coupled DriveShubham Mishra, Md Jubayer Shawon, Anuar Dorzhigulov, Vishal Saxena. 1000-1004 [doi]
- Phase Noise Integration Limits for Jitter CalculationYu Zhao, Behzad Razavi. 1005-1008 [doi]
- Electrical Architecture and In-Vehicle Networking: Challenges and Future TrendsHaysam M. Kadry, Ajeya Gupta, James Martin Lawlis, Matthew Volpone. 1009-1013 [doi]
- Video Deep Learning Classification for Autonomous Vehicle NavigationFathi M. Salem. 1014-1017 [doi]
- Automotive Sensor Infrastructure - Challenges and OpportunitiesSai Prasanth Velusamy, Mahmoud Yousef Ghannam, Haysam M. Kadry. 1018-1022 [doi]
- In-Vehicle Network Standards - Overview and Implementation ExamplesHelia Ordouei, Friedel Gerfers, Silvio Waldmann. 1023-1027 [doi]
- Total Ionization Dose Measurement onboard a 1U CubeSat in Low Earth OrbitAkihiro Oboshi, Tomoaki Murase, Hirokazu Masui, Shu Wei, Joseph Chang, Mengu Cho. 1028-1032 [doi]
- Transfer Learning for Reuse of Analog Circuit Sizing Models Across Technology NodesZhengfeng Wu, Ioannis Savidis. 1033-1037 [doi]
- Optimal Distribution of High-Speed Clocks on Transceiver ChipsMakar Chand Snai, Behzad Razavi. 1038-1042 [doi]
- Metalization Enhanced Latch-Based PUF With 1.29% Native InstabilityMeysam Asghari, Beomsoo Park, Marino De Jesus Guzman, Nima Maghari. 1043-1046 [doi]
- Reconfigurable Analog Array for Hardware SecurityZiyi Chen, Ioannis Savidis. 1047-1051 [doi]
- Object Contact Shape Classification Using Neuromorphic Spiking Neural Network with STDP LearningAli Dabbous, Ali Ibrahim, Mohamad Alameh, Maurizio Valle, Chiara Bartolozzi. 1052-1056 [doi]
- Towards hardware Implementation of WTA for CPG-based control of a Spiking Robotic ArmAlejandro Linares-Barranco, Enrique Piñero-Fuentes, Salvador Canas-Moreno, Antonio Rios-Navarro, Maryada, Chenxi Wu, Jingyue Zhao, Dmitrii Zendrikov, Giacomo Indiveri. 1057-1061 [doi]
- Subthreshold CMOS Implementation of the Izhikevich Neuron ModelKarthi Srinivasan, Glenn Cowan. 1062-1066 [doi]
- EchoWrite-SNN: Acoustic Based Air-Written Shape Recognition Using Spiking Neural NetworksArun M. George, Andrew Gigie, Achanna Anil Kumar, Sounak Dey, Arpan Pal, K. Aditi. 1067-1071 [doi]
- A Linear Weighted Neuromorphic ISFET Array with Offset CompensationTianyang Yao, Prateek Tripathi, Lewis Keeble, Nicolas Moser, Pantelis Georgiou. 1072-1076 [doi]
- Deep Neural Network Based Cell Segmentation for Lab-on-CMOS Systems using Realtime MicroscopyNathan Renegar, Utku Noyan, Pamela Abshire. 1077-1081 [doi]
- CMOS Ring-Oscillator-Based Electrochemical Capacitance Imager with Frequency-Division-Multiplexed ReadoutAshwin Krishnan, Peter M. Levine. 1082-1086 [doi]
- Circuit Modeling of rGO-doped Scaffolds for Spinal Cord Regeneration Based on Transient and xAC AnalysesLatifah Al-Maghrabi, Patrícia Martins, Daniela Silva, Guilherme Gil, Nathalie Barroca, Olatz Murua, Beatriz Olalde, Luís Alves, Paulo Pedreiras, Pedro Fonseca 0003, Philip LeDuc, Paula Marques. 1087-1091 [doi]
- A tool for emulating neuromorphic architectures with memristive models and devicesJinqi Huang, Spyros Stathopoulos, Alexander Serb, Themis Prodromakis. 1092-1096 [doi]
- Physics-based modeling of a bi-layer Al₂O₃/Nb₂O₅ analog memristive deviceRichard Schroedter, Eter Mgeladze, Melanie Herzig, Alon Ascoli, Stefan Slesazeck, Thomas Mikolajick, Ronald Tetzlaff. 1097-1101 [doi]
- Switching dynamics in finite time in memristor Chua's circuitMauro Di Marco, Mauro Forti, Riccardo Moretti, Luca Pancioni, Giacomo Innocenti, Alberto Tesi. 1102-1106 [doi]
- Design Space Exploration of Dense and Sparse Mapping Schemes for RRAM ArchitecturesCorey Lammie, Jason K. Eshraghian, Chenqi Li, Amirali Amirsoleimani, Roman Genov, Wei D. Lu, Mostafa Rahimi Azghadi. 1107-1111 [doi]
- A 19.1 - 46.5 GHz Broadband Efficient Power Amplifier in 22nm CMOS FD-SOI for mm-Wave 5GJill Mayeda, Clint Sweeney, Donald Y. C. Lie, Jerry Lopez. 1112-1116 [doi]
- X-to-K Band 6-bit Bidirectional Common Chain SiGe BiCMOS for Multi-Band ArraysCan Çaliskan, Melik Yazici, Yasar Gurbuz. 1117-1121 [doi]
- Energy Efficiency Tradeoffs for Sub-THz Multi-User MIMO Base Station ReceiversBenjamin W. Domae, Christopher Chen, Danijela Cabric. 1122-1126 [doi]
- Triple-Mode Low-Power 20 Gb/s SST Driver for Short Reach InterconnectsSara Mahran, Odile Liboiron-Ladouceur, Glenn Cowan. 1127-1131 [doi]
- Common mode control loop for current mode logic-based circuits in FD-SOI technologyMarco A. Saif, Mohamed Dessouky, Hassan Aboushady. 1132-1133 [doi]
- A Second-Order Passive Noise-Shaping SAR ADC With 4× Passive Gain and A Two-Input-Pair ComparatorHanyu Wang, Gabor C. Temes. 1134-1135 [doi]
- A 78nW, 814mV/875nA All-in-One Voltage and Current Reference Using Darlington PairYongyan Wang, Yu Qi, Hossein Miri Lavasani. 1136-1137 [doi]
- Silicon-Proven Clockless Wave-Propagated Pipelining for High-Throughput, Energy-Efficient ProcessingYehuda Kra, Adam Teman. 1138-1139 [doi]
- A 0.35-μm subthreshold CMOS ASIC for a Smart Contact Lens Eye-TrackerLoïc Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Camilla Kärnfelt. 1140-1144 [doi]
- A Photoplethysmography Analog Front-End Model for Rapid Design of Personalized Healthcare HardwarePeng Wang, Benton H. Calhoun. 1145-1149 [doi]
- Tampering Attack Detection in Analog to Feature Converter for Wearable BiosensorXiaochen Tang, Shanshan Liu, Wenjie Che, Wei Tang 0002. 1150-1154 [doi]
- An Accelerated GPU Library for Homomorphic Encryption Operations of BFV SchemeEnes Recep Türkoglu, Ali Sah Özcan, Can Ayduman, Ahmet Can Mert, Erdinç Öztürk, Erkay Savas. 1155-1159 [doi]
- Hardware Implementation of High-Performance Polynomial Multiplication for KEM SaberYazheng Tu, Pengzhou He, Chiou-Yng Lee, Danai Chasaki, Jiafeng Xie. 1160-1164 [doi]
- Resource-Efficient FPGA Implementation of Advanced Encryption StandardUseok Lee, Ho Keun Kim, Young Jun Lim, Myung Hoon Sunwoo. 1165-1169 [doi]
- Lightweight and CCA2-Secure Hardware Implementation of Binary Ring-LWEKarim Shahbazi, Seok-Bum Ko. 1170-1174 [doi]
- New Sensing Systems for Securing Virtual Walls at Outdoor Based on True Differential Digital TMOSTanya Blank, Igor Brouk, Sharon Bar-Lev, Gavriel Amar, Maxim Meltsin, Alex Katz, Michele Vaiana, Maria Eloisa Castagna, Antonella La Malfa, Giuseppe Bruno, Yael Nemirovsky. 1175-1179 [doi]
- Thermopyle-based contactless temperature sensors for low-power applicationsMichele Vaiana, Pierpaolo Lombardo, Paolo Pesenti, Giuseppe Spinella, Maria Eloisa Castagna, Marco Sapienza, Antonella La Malfa, Rosario Cariola, Marco Ippolito, Giuseppe Bruno. 1180-1183 [doi]
- Performance Analysis of Memristive-CNN based on a VCM Device ModelYongmin Wang, Alon Ascoli, Ronald Tetzlaff, Vikas Rana, Stephan Menzel. 1184-1188 [doi]
- Purely Spintronic Leaky Integrate-and-Fire NeuronsWesley H. Brigner, Naimul Hassan, Xuan Hu, Christopher H. Bennett, Felipe García-Sánchez, Matthew J. Marinella, Jean Anne C. Incorvia, Joseph S. Friedman. 1189-1193 [doi]
- Analog Acceleration of the Power Method using Memristor CrossbarsAnil Korkmaz, Gianluca Zoppo, Francesco Marrone, Fernando Corinto, R. Stanley Williams, Samuel Palermo. 1194-1198 [doi]
- High-Density Digital RRAM-based Memory with Bit-line Compute CapabilityShady Agwa, Yihan Pan, Thomas Abbey, Alexander Serb, Themis Prodromakis. 1199-1200 [doi]
- ACHS Optimizations on 3D Interconnect ArrangementsDaniel Iparraguirre, José G. Delgado-Frias. 1201-1202 [doi]
- Level Shifters for Charge Constrained ApplicationsKushagra Bhatheja, Matthew R. Strong, Degang Chen 0001. 1203-1204 [doi]
- Self-correcting Flip-flops for Triple Modular Redundant Logic in a 12-nm TechnologyLawrence T. Clark, Alen Duvnjak, Clifford Young-Sciortino, Matthew Cannon, John Brunhaver, Sapan Agarwal, Jereme Neuendank, Donald Wilson, Hugh J. Barnaby, Matthew J. Marinella. 1205-1209 [doi]
- Multimodal Attentive Learning for Real-time Explainable Emotion Recognition in ConversationsBalaji Arumugam, Sreyasee Das Bhattacharjee, Junsong Yuan. 1210-1214 [doi]
- Individual Weighting of Unlabeled Data Points via Confidence-Awareness in Semi-Supervised LearningFarzin Ghorban, Nesreen Hasan, Jörg Velten, Anton Kummert. 1215-1219 [doi]
- Hyper-parameter Tuning for Progressive Learning and its Application to Network Cyber SecurityRupesh Raj Karn, Matthew Ziegler, Jinwook Jung, Ibrahim Abe M. Elfadel. 1220-1224 [doi]
- Optimal reconfiguration instant in ΣΔ ModulatorsPablo Vera, Dietmar Straeussnigg, Victor Medina, Luis Hernández 0003, Susana Patón. 1225-1229 [doi]
- rd Order CT-ΣΔ Modulator with a Hybrid Loop Filter Employing Passive and Continuous-Time Delay Based IntegratorsJiu Xiong, Jeniffer Zhao, Jin Liu 0004, Hoi Lee. 1230-1233 [doi]
- Passive Third Order Continuous-Time ΔΣ Modulator with Q Enhancement TechniqueHang Hu 0009, Vladimir Veselý, Un-Ku Moon. 1234-1238 [doi]
- A current-mode Σ△ modulator with FIR feedback and DC servo loop for an improved dynamic rangeAyman Mohamed, Lars Baumgärtner, Jianyu Zhao, Denis Djekic, Jens Anders. 1239-1243 [doi]
- Ultra-Low OSR Calibration Free MASH Noise Shaping SAR ADCHang Hu 0009, Vladimir Veselý, Un-Ku Moon. 1244-1248 [doi]
- Reduce Computing Complexity of Deep Neural Networks Through Weight ScalingMohammed F. Tolba 0002, Hani H. Saleh, Mahmoud Al-Qutayri, Baker Mohammad. 1249-1253 [doi]
- Wavelet Transform Assisted Neural Networks for Human Activity RecognitionRoshwin Sengupta, Ilia Polian, John P. Hayes. 1254-1258 [doi]
- A Mixed Precision, Multi-GPU Design for Large-scale Top-K Sparse EigenproblemsFrancesco Sgherzi, Alberto Parravicini, Marco D. Santambrogio. 1259-1263 [doi]
- A High-performance RNS LSTM blockVasilis Sakellariou, Vassilis Paliouras, Ioannis Kouretas, Hani H. Saleh, Thanos Stouraitis. 1264-1268 [doi]
- Mitigating Asynchronous QDI Drawbacks on MAC Operators with Approximate MultipliersRodrigo N. Wuerdig, Marcos L. L. Sartori, Brunno A. Abreu, Sergio Bampi, Ney Laert Vilar Calazans. 1269-1273 [doi]
- Classification of Alzheimer's Disease from MRI Data Using a Lightweight Deep Convolutional ModelEmimal Jabason, M. Omair Ahmad, M. N. S. Swamy. 1279-1283 [doi]
- DSegAN: A Deep Light-weight Segmentation-based Attention Network for Image RestorationAlireza Esmaeilzehi, M. Omair Ahmad, M. N. S. Swamy. 1284-1288 [doi]
- Histogram-Equalized Quantization for logic-gated Residual Neural NetworksVan Thien Nguyen, William Guicquero, Gilles Sicard. 1289-1293 [doi]
- A Morphological Fingerprint Minutiae Annotation Algorithm for Deep Learning DatasetsHongtian Zhao, Shibao Zheng. 1294-1298 [doi]
- PRUNIX: Non-Ideality Aware Convolutional Neural Network Pruning for Memristive AcceleratorsAli Al-Shaarawy, Amirali Amirsoleimani, Roman Genov. 1299-1303 [doi]
- LiteLSTM Architecture for Deep Recurrent Neural NetworksNelly Elsayed, Zag ElSayed, Anthony S. Maida. 1304-1308 [doi]
- Analysis of Deep Learning Models Towards High Performance Digital Predistortion for RF Power AmplifiersRajesh Kudupudi, Fariborz Lohrabi Pour, Dong Sam Ha, Sook Shin Ha, Keyvan Ramezanpour. 1309-1313 [doi]
- Noise Analysis of a Solar Cell-Based Receiver for Simultaneous Energy Harvesting and Data ReceptionArchana Dharanipragada, Walter D. Leon-Salas. 1314-1318 [doi]
- Design of A 10-Bit, 2GS/s Current-Steering Digital-to-Analog Converter with OnLine Current CalibrationAthanasios Stefanou, Kostas Siozios, Alkiviadis Hatzopoulos. 1319-1322 [doi]
- Nyquist VCO-based ADC with Programmable Pulse Shaping Filter for Mitigation of BlockersLeidy Mabel Alvero-Gonzalez, Eric Gutierrez. 1323-1327 [doi]
- Controller Area Network (CAN) Bus Transceiver with Authentication SupportXianshan Wen, Ruobing Hua, Jianye Liu, Tao Fu, Liang Fang, XiaoRan Wang, Mitch A. Thornton, Ping Gui. 1328-1331 [doi]
- Privacy-preserving Social Distance Monitoring on Microcontrollers with Low-Resolution Infrared Sensors and CNNsChen Xie, Francesco Daghero, Yukai Chen, Marco Castellano, Luca Gandolfi, Andrea Calimera, Enrico Macii, Massimo Poncino, Daniele Jahier Pagliari. 1332-1336 [doi]
- A Low Power, High Count Rate Radiation Detection Chip Using A Current Subtraction TechniqueSamuel J. Murray, Joseph A. Schmitz, Sina Balkir, Michael W. Hoffman. 1337-1341 [doi]
- Amplitude and Phase Estimation of Backscatter Tag-to-Tag ChannelAbeer Ahmad, Xiao Sha, Akshay Athalye, Samir R. Das, Petar M. Djuric, Milutin Stanacevic. 1342-1346 [doi]
- A Portable Electrochemical Impedance Spectroscopy Lab-on-chip System for Biosensing ApplicationsXuanjie Ye, Jiajun Li, Tianxiang Jiang, Bingxuan Li, Jie Chen. 1347-1351 [doi]
- Optimizing a Multispectral-Images-Based DL Model, Through Feature Selection, Pruning and QuantizationJulio Torres-Tello, Seok-Bum Ko. 1352-1356 [doi]
- Scheduling Problems for Robotics in Precision AgricultureStefano Carpin. 1357-1361 [doi]
- Early Characterization of Soil Microbial Fuel CellsGabriel Marcano, Colleen Josephson, Pat Pannuto. 1362-1366 [doi]
- Hardware Accelerator Design for Healthcare Applications: Review and PerspectivesJai Narayan Tripathi, Binod Kumar, Dinesh Junjariya. 1367-1371 [doi]
- SCOLAR: A Spiking Digital Accelerator with Dual Fixed Point for Continual LearningVedant Karia, Fatima Tuz Zohora, Nicholas Soures, Dhireesha Kudithipudi. 1372-1376 [doi]
- Impact of Modular Multilevel Converters Impedances on the AC/DC Power System StabilityDavide del Giudice, Federico Bizzarri, Daniele Linaro, Angelo Brambilla. 1377-1381 [doi]
- A new submodule structure with parallel capacitor connection in modular multilevel convertersG. Veera Bharath, Ghanshyamsinh Gohil, Poras T. Balsara. 1382-1386 [doi]
- An Efficient FPGA Implementation for Real-Time and Low-Power UAV Object DetectionGuoqing Li, Jingwei Zhang, Meng Zhang, Henk Corporaal. 1387-1391 [doi]
- Structured and tiled-based pruning of Deep Learning models targeting FPGA implementationsLizeth Gonzalez-Carabarin, Alexandre Schmid, Ruud J. G. van Sloun. 1392-1396 [doi]
- A Dynamic Charge-Transfer-Based Crossbar with Low Sensitivity to Parasitic Wire-ResistancePengcheng Xu, Lei Zhang, Ferdinand Pscheidl, David Borggreve, Frank Vanselow, Ralf Brederlow. 1397-1401 [doi]
- Relaxation Digital-to-Analog Converter with Radix-based Digital CorrectionRoberto Rubino, Francesco Musolino, Paolo Crovetti. 1402-1406 [doi]
- Look Ahead CLS in Pipelined SAR ADCsMorgan-Thomas, Marino De Jesus Guzman, Nima Maghari. 1407-1411 [doi]
- Software-Defined Cognitive Radar Implementation for Spectrum Management using MATLABJacqueline A. Fairley, Joshua Phillips, Mike Baden, William L. Melvin, Anson Dixon, Edwin Culpepper. 1412-1416 [doi]
- Ultra-Wideband Software Defined Radio Platform and Heterogeneous FabricHelen L. N. Liu, Dan Pritsker, Benjamin Esposito, Gregory Nash. 1417-1419 [doi]
- A Phase-Encoded Voice Features Extraction Circuit Using Digital Mixers and Analog Filters based on Ring OscillatorsRuben Garvi, Eric Gutierrez, Victor Medina, Luis Hernández 0003. 1420-1424 [doi]
- A DC to 12.5 MHz Fully Integrated and Galvanically Isolated Shunt-Resistor Current SensorDiego Felix, Christian Cojocaru, Rony Amaya. 1425-1429 [doi]
- A 2-Tap Macro-Pixel-Based Indirect ToF CMOS Image Sensor for Multi-Frequency DemodulationPeyman F. Shahandashti, P. López, Víctor M. Brea 0001, Daniel García-Lesta, Miguel Heredia Conde. 1430-1434 [doi]
- Improved Analysis of Current-Steering DACs Using Equivalent Timing ErrorsDaniel Beauchamp, Keith M. Chugg. 1435-1439 [doi]
- A Multiplying Digital to Analog Converter Insensitive to Component MismatchAmandeep Kaur. 1440-1444 [doi]
- A Diode-Based D-2D DAC Architecture with Leakage Current Compensation for Ultra-low Power ApplicationJesse Coulon, Jin Liu. 1445-1448 [doi]
- Metastability Correction Techniques for TSPC-DFF with Applications in Vernier TDCFei Yuan. 1449-1452 [doi]
- Design of Cyclic-Coupled Ring Oscillators with Guaranteed Maximal Phase ResolutionOkko Järvinen, Vishnu Unnikrishnan, Ilia Kempi, Kari Stadius, Marko Kosunen, Jussi Ryynänen. 1453-1456 [doi]
- Non van-Neumann Anomaly Detection in Multi-Channel Time-Series using Charge Trap Transistor CrossbarsAhish Shylendra, Priyesh Shukla, Amit Ranjan Trivedi. 1457-1461 [doi]
- Write-Verify Scheme for IGZO DRAM in Analog in-Memory ComputingMichele Caselli, Subhali Subhechha, Peter Debacker, Arindam Mallik, Diederik Verkest. 1462-1466 [doi]
- A Trim Bit One Time Programmable EPROM with Aggressively Reduced Area, Enhanced Functionality, and Extra FeaturesXiaowei Deng, Yunchen Qiu, David Toops, George Jamison. 1467-1471 [doi]
- Optimization of DRAM based PIM Architecture for Energy-Efficient Deep Neural Network TrainingChirag Sudarshan, Mohammad Hassani Sadi, Christian Weis, Norbert Wehn. 1472-1476 [doi]
- Maximising Parallel Memory Access for Low Latency FPGA DesignsStewart Denholm, Wayne Luk. 1477-1481 [doi]
- A 10-mV-Startup-Voltage Thermoelectric Energy Harvesting System With a Piezoelectric StarterRuizhi Wang, Yansong Liang, Sijun Du. 1482-1486 [doi]
- Power-Aware Computing on GPGPU Systems Using ML Classification TechniquesFurat Al-Obaidy, Farah A. Mohammadi. 1487-1491 [doi]
- A Half-Bridge GaN Driver with Real-Time Digital Calibration for VGS Ringing Regulation and Slew-Rate Optimization in 180nm BCDSi Yuan Sim, Junmin Jiang, Cheng Huang. 1492-1496 [doi]
- A Scalable Single-Input-Multiple-Output DC/DC Converter with Enhanced Load Transient Response and Security for Low-Power SoCsXingye Liu, Paul Ampadu. 1497-1501 [doi]
- Fake Satellite Image Detection via Parallel Subspace Learning (PSL)Hong-Shuo Chen, Kaitai Zhang, Shuowen Hu, Suya You, C. C. Jay Kuo. 1502-1506 [doi]
- A Wireless Wearable Sensor for Pointing and Arm-Gesture RecognitionTimothy K. Horiuchi, Dilan Cruz-Flores, Parshva Patel. 1507-1511 [doi]
- C-NMT: A Collaborative Inference Framework for Neural Machine TranslationYukai Chen, Roberta Chiaro, Enrico Macii, Massimo Poncino, Daniele Jahier Pagliari. 1512-1516 [doi]
- Does Video Compression Impact Tracking Accuracy?Takehiro Tanaka, Alon Harell, Ivan V. Bajic. 1517-1521 [doi]
- Behavioral model of an amorphous-core inductor working up to partial saturationAlberto Oliveri, Matteo Lodi, Cinzia Beatrice, Enzo Ferrara, Marco Storace, Fausto Fiorillo. 1522-1526 [doi]
- Reliable comparison for power amplifiers nonlinear behavioral modeling based on regression trees and random forestDaniel Santiago Aguila-Torres, José Alejandro Galaviz-Aguilar, José Ricardo Cárdenas-Valdez. 1527-1530 [doi]
- Forget partitions? Not yet..Matteo Lodi, Francesco Sorrentino 0001, Marco Storace. 1531-1535 [doi]
- Phase-Change Memory in Neural Network Layers with Measurements-based Device ModelsCarmine Paolino, Alessio Antolini, Fabio Pareschi, Mauro Mangia, Riccardo Rovatti, Eleonora Franchi Scarselli, Gianluca Setti, Roberto Canegallo, Marcella Carissimi, Marco Pasotti. 1536-1540 [doi]
- Low-Complexity Pseudo Direct Learning Digital Pre-Distortion Architecture for Nonlinearity and Memory Effect of Power Amplifier in mmWave Baseband TransmitterShen-Zhe Lu, Nai-Cheng Xue, Hung-Chih Liu, Chih-Wei Jen, Shyh-Jye Jou. 1541-1545 [doi]
- 3-Stage Pipelined Hierarchical SRAMs with Burst Mode Read in 65nm LSTP CMOSMukesh Kumar Srivastav, Rimjhim, Roshan Mishra, Anuj Grover, Kedar Janardan Dhori, Harsh Rawat. 1546-1550 [doi]
- Fair Scheduling Through Collaborative Filtering on Multicore SystemsOurania Spantidi, Theodoros Marinakis, Iraklis Anagnostopoulos. 1551-1555 [doi]
- A 65nm Compute-In-Memory 7T SRAM Macro Supporting 4-bit Multiply and Accumulate Operation by Employing Charge SharingDinesh Kushwaha, Aditya Sharma, Neha Gupta, Ritik Raj, Ashish Joshi, Jwalant Mishra, Rajat Kohli, Sandeep Miryala, Rajiv V. Joshi, Sudeb Dasgupta, Anand Bulusu. 1556-1560 [doi]
- 1T1R In-Memory Compute for Winner Takes All Application in Kohonen Neural NetworksAya Mouallem, Hussein Fadlallah, Lina Bacha, Dana El Hajj, Rachid Jamil, Dana Bazazo, Rouwaida Kanj. 1561-1565 [doi]
- Analogue Circuits Real-Time Emulation based on Wave Digital FilterAbdulaziz Alshaya, Saleh Komies, Lijie Xie, Jiawei Shen, Christos Papavassiliou. 1566-1569 [doi]
- Speeding-Up Complex RF IC Sizing Optimizations with a Process, Voltage and Temperature Corner Performance Estimator based on ANNsPedro Vaz, António Gusmão 0001, Nuno Horta, Nuno Lourenço 0003, Ricardo Martins 0003. 1570-1574 [doi]
- Design Automation of CMOS Op-Amps Using Statistical Geometric ProgrammingSangjukta R. Chowdhury, Sumit Bhardwaj, Jennifer Kitchen. 1575-1579 [doi]
- Robust Built-in Defect-Detection for Low Drop-Out Regulators using Digital Mismatch InjectionMarampally Saikiran, Mona Ganji, Degang Chen 0001. 1580-1584 [doi]
- A Parasitic Resistance Extraction Tool Leveraged by Image ProcessingDiogo Dias, João Goes, Tiago Costa. 1585-1589 [doi]
- Reliability Assessment of Many-Core Dynamic Thermal ManagementAlzemiro Henrique Lucas da Silva, Iaçanã I. Weber, André Luís Del Mestre Martins, Fernando Gehm Moraes. 1590-1594 [doi]
- Improving the performance of RISC-V softcores on FPGA by exploiting PVT variability and DVFSEndri Taka, George Lentaris, Dimitrios Soudris. 1595-1599 [doi]
- Using HLS for Designing a Parametric Optical Flow Hierarchical Algorithm in FPGAsIlias Bournias, Roselyne Chotin, Lionel Lacassagne. 1600-1604 [doi]
- Power Delivery for Ultra-Large-Scale Applications on Si-IFYousef Safari, Anja Kroon, Boris Vaisband. 1605-1609 [doi]
- The Impact of Logic Gates Susceptibility in Overall Circuit Reliability AnalysisMatheus F. Pontes, Ingrid F. V. Oliveira, Rafael B. Schvittz, Leomar S. Rosa, Paulo F. Butzen. 1610-1614 [doi]
- Power and Accuracy Optimization for Luminescent Transcutaneous Oxygen MeasurementsBurak Kahraman, Ian Costanzo, Neal Kurfis, Guixue Bu, Jiayuan Wang, Foroohar Foroozan, Ulkuhan Guler. 1615-1619 [doi]
- A 385μW Photoplethysmography-based Vitals Monitoring SoC with 110dB Current-to-Digital ConverterSameen Minto, Sarmad Salman, Wala Saadeh. 1620-1624 [doi]
- An ASIC Interface for CMUTs-based Biosensors with High Voltage Boosting and OscillatorYihe Zhao, Gian Luca Barbruni, Zhikang Li, Libo Zhao, Zhuangde Jiang, Christian C. Enz, Sandro Carrara. 1625-1629 [doi]
- Collaborative Clustering Based on Adaptive Laplace Modeling for Neuroimaging Data AnalysisHangfan Liu, Karl Li, Jon B. Toledo, Mohamad Habes. 1630-1634 [doi]
- Real-time sepsis prediction using fusion of on-chip analog classifier and electronic medical recordSudarsan Sadasivuni, Monjoy Sahay, Sumukh Prashant Bhanushali, Imon Banerjee, Arindam Sanyal. 1635-1639 [doi]
- ART-MAC: Approximate Rounding and Truncation based MAC Unit for Fault-Tolerant ApplicationsVishesh Mishra, Divy Pandey, Saurabh Singh, Sagar Satapathy, Kaustav Goswami 0002, Babita Jajodia, Dip Sankar Banerjee. 1640-1644 [doi]
- AxLEAP: Enabling Low-Power Approximations Through Unified Power FormatSagar Satapathy, Saurabh Singh, Kaustav Goswami 0002, Vishesh Mishra, Divy Pandey, Dip Sankar Banerjee. 1645-1649 [doi]
- ConfAx: Exploiting Approximate Computing for Configurable FPGA CNN Acceleration at the EdgeGuilherme Korol, Michael Guilherme Jordan, Mateus Beck Rutzig, Antonio Carlos Schneider Beck. 1650-1654 [doi]
- AxRSU: Approximate Radix-4 Squarer UnitMorgana Macedo Azevedo sa Rosa, Guilherme Paim, Jorge Castro-Godínez, Eduardo A. C. da Costa, Rafael Iankowski Soares, Sergio Bampi. 1655-1659 [doi]
- Comparison of Virtual Network Embedding Algorithms for Data Center NetworksHardeep Kaur Takhar, Ana Laura Gonzalez Rios, Ljiljana Trajkovic. 1660-1664 [doi]
- A CMOS Compatible Bistable Resistively-coupled Ising Machine-BRIMYiqiao Zhang, Richard Afoakwa, Uday Kumar Reddy Vengalam, Michael C. Huang 0001, Zeljko Ignjatovic. 1665-1669 [doi]
- A Low-Complexity Method to Address Process Variability in True Random Number Generators based on Digital Nonlinear OscillatorsTommaso Addabbo, Ada Fort, Marco Mugnaini, Riccardo Moretti, Valerio Vignoli, Duccio Papini. 1670-1674 [doi]
- A Resource-Saving Energy-Efficient Reconfigurable Hardware Accelerator for BERT-based Deep Neural Network Language Models using FFT MultiplicationRodrigue Rizk, Dominick Rizk, Frederic Rizk, Ashok Kumar 0001, Magdy A. Bayoumi. 1675-1679 [doi]
- An Economic Uniqueness-Improved Reliable Reconfigurable RO PUF for IoT SecurityDominick Rizk, Rodrigue Rizk, Frederic Rizk, Ashok Kumar 0001. 1680-1684 [doi]
- A Cost-Efficient Reversible-Based Reconfigurable Ring Oscillator Physical Unclonable FunctionFrederic Rizk, Dominick Rizk, Rodrigue Rizk, Ashok Kumar 0001. 1685-1689 [doi]
- An Open-Source Co-processor for Solving Lotka-Volterra EquationsAndrew Hollabough, Dwaipayan Chakraborty. 1690-1694 [doi]
- An Implementation of a Low Complexity Integer Carrier Frequency Offset estimator for OFDMDaniel Garcia Urdaneta, Claudio Ferreira Dias, Fabio Kelm Pereira, Leonardo Sulato de Moraes, André Távora, Eduardo Rodrigues de Lima. 1695-1698 [doi]
- A Dual VCO Based L5/S Band PLL with Extended Range Divider for IRNSS ApplicationRizwan Shaik Peerla, Purushothama Chary, Ashudeb Dutta, Bibhu Datta Sahoo 0003. 1699-1703 [doi]
- Reference Spur Reduction in Sampled-loop filter PLLs by OversamplingChembiyan Thambidurai, Preetham N. Reddy, Raghurama Gunaje. 1709-1713 [doi]
- A Low-Ripple Resistor-Less Hybrid Loop Filter based PLL in 3nm FinFETPing Lu, Charlie Boecker, Bupesh Pandita, Minhan Chen, Sheethal Nayak. 1714-1718 [doi]
- Design Considerations for Time-Modulated Injection-Locked Phase Interpolators and RotatorsYi-Fan Zhang, Joshua Liang, Tony Chan Carusone. 1719-1723 [doi]
- Mitigating EM Side-Channel Attacks with Dynamic Delay Insertion and Data Bus InversionMinmin Jiang, Eleni Maragkoudaki, Vasilis F. Pavlidis. 1724-1728 [doi]
- A Hierarchical Parallel Discrete Gaussian Sampler for Lattice-Based CryptographySirui Shen, Wenqing Song, Xinyu Wang, Xinyu Shao, Yuxiang Fu, Zhonghai Lu, Li Li 0003. 1729-1733 [doi]
- Synthesis of Coupling Capacitance Based Hidden State Transitions for Sequential Logic LockingPratik Shrestha, Ioannis Savidis. 1734-1738 [doi]
- Modernizing Hardware Circuits through High-Level SynthesisMd Imtiaz Rashid, Qilin Si, Benjamin Carrión Schäfer. 1739-1743 [doi]
- Standard Cell and Supergates Designs: An Electrical Comparison on 4-Input Logic FunctionsHenrique Kessler, Marcelo Porto, Leomar da Rosa, Vinicius V. Camargo. 1744-1748 [doi]
- Power Efficient Wireless Sensor Node through Edge IntelligenceAbhishek Priyadarshan Damle, Sook Shin Ha, Zhuqing Zhao, Barbara Roqueto dos Reis, Robin White, Dong Sam Ha. 1749-1753 [doi]
- Surfing the Wavefront of Genome AlignmentBeatrice Branchini, Giulia Gerometta, Luisa Cicolini, Alberto Zeni, Emanuele Del Sozzo, Marco D. Santambrogio. 1754-1758 [doi]
- Ultra-thin and Skin-conformable Strain Sensors Fabricated by Inkjet Printing for Soft Wearable ElectronicsArshad Khan, Shawkat Ali 0002, Saleem Khan, Amine Bermak. 1759-1762 [doi]
- An Adaptive ASIC for Closed-Loop Low-Power Pulse-Based Ultrasonic Data TransmissionZeinab Kashani, Mehdi Kiani. 1763-1767 [doi]
- An Accurate EEG-based Deep Learning Classifier for Monitoring Depth of AnesthesiaMuhammad Ibrahim Dutt, Wala Saadeh. 1768-1772 [doi]
- RemEduLa - Remote Education Laboratory for FPGA Design TechnologyChristopher Blochwitz, Philipp Grothe, Sven Dreier, Waiel Aljnabi, Rainer Buchty, Mladen Berekovic. 1773-1777 [doi]
- Framework to Benchmark CNNs (FaBCNN) for Processing Real-Time HD Video Streams on FPGAsTravis Sandefur, Syed Rafay Hasan. 1778-1782 [doi]
- A Mostly-Online CAS Teaching ExperienceChamith Wijenayake, K. Wickremasinghe, G. Abarajithan, Arjuna Madanayake, Chamira U. S. Edussooriya, K. Samarasinghe. 1783-1787 [doi]
- On a Generalization of Tellegen's Theorem to Quantum CircuitsIbrahim Abe M. Elfadel. 1788-1792 [doi]
- Educational project on the design of a demonstrator with automatic pressure measurementMing Zhang, Arnaud Bournel, Jean-Luc Raimbault, Nicolas Llaser, Nicolas Louis, Josué Malatchoumy, Daniel Gruat. 1793-1797 [doi]
- A High-Throughput Design for the H.266/VVC Low-Frequency Non-Separable TransformJones Goebel, Vitor Costa, Luciano Agostini, Bruno Zatt, Marcelo Schiavon Porto. 1798-1802 [doi]
- Mode-Adaptive Subsampling of SAD/SSE Operations for Intra Prediction Cost ReductionMarcel Moscarelli Corrêa, Nuno Roma, Daniel Palomino 0001, Guilherme Corrêa 0001, Luciano Agostini. 1808-1812 [doi]
- Improving Content-Aware Video Streaming in Congested Networks with In-Network ComputingLeonardo Gobatto, Mateus Saquetti, Cláudio Machado Diniz, Bruno Zatt, Weverton Cordeiro, José Rodrigo Azambuja. 1813-1817 [doi]
- A Near Sensor Edge Computing System for Point Cloud Semantic SegmentationLin Bai 0002, Yiming Zhao, Xinming Huang 0001. 1818-1822 [doi]
- Tiny ci-SAR A/D Converter for Deep Neural Networks in Analog in-Memory ComputationMichele Caselli, Debjyoti Bhattacharjee, Arindam Mallik, Peter Debacker, Diederik Verkest. 1823-1827 [doi]
- Hybrid CMOS-RRAM Spiking CNNs with Time-Domain Max-pooling and Integrator Re-useAnuar Dorzhigulov, Shubham Mishra, Vishal Saxena. 1828-1832 [doi]
- Towards Enabling Dynamic Convolution Neural Network Inference for Edge IntelligenceAdewale Adeyemo, Travis Sandefur, Tolulope A. Odetola, Syed Rafay Hasan. 1833-1837 [doi]
- Efficient Fine-Tuning of BERT Models on the EdgeDanilo Vucetic, Mohammadreza Tayaranian, Maryam Ziaeefard, James J. Clark, Brett H. Meyer, Warren J. Gross. 1838-1842 [doi]
- Super-Regenerative Receiver for OFDM CommunicationF. Xavier Moncunill-Geniz, Jordi Bonet-Dalmau, Francisco del Águìla López, Ilker Demirkol, Pere Palà-Schönwälder. 1843-1847 [doi]
- Rapid Spectrum Sweeping Scanner Exploiting Mixer Harmonics for Cognitive Radio ApplicationsAmr Abdelhamid, Murat Torlak, Won Namgoong. 1848-1852 [doi]
- A Quadrature Hybrid Transimpedance-Amplifier-Based Mixer-First ReceiverErez Zolkov, Emanuel Cohen. 1853-1857 [doi]
- Widely-Tunable RF Receiver Employing Synthetic Diversity for Interference MitigationSanaz Sadeghi, Sweta Soni, Alyosha Molnar. 1858-1862 [doi]
- Low-Power Low-Data-rate Wireless PPM Receiver Based on 13-Bits Barker Coded SAW Correlator with Scalable Data-rate and SensitivitySaed Abughannam, Johann-Christoph Scheytt. 1863-1866 [doi]
- SDEX: Monte Carlo Simulation of Stochastic Differential Equations on Memristor CrossbarsLouis Primeau, Amirali Amirsoleimani, Roman Genov. 1867-1871 [doi]
- Efficient Kernels for Real-Time Position Decoding from In Vivo Calcium ImagesZhe Chen, Jim Zhou, Garrett J. Blair, Hugh T. Blair, Jason Cong. 1872-1876 [doi]
- A Review of Simulation Algorithms of Classical Ising Machines for Combinatorial optimizationTingting Zhang, Qichao Tao, Bailiang Liu, Jie Han 0001. 1877-1881 [doi]
- A CMOS inductor-less PMIC with MPPT and burst control for a 600 μW magnetoelectric transducerJosep Maria Sánchez-Chiva, Dimitri Galayko, Amine Rhouni. 1882-1886 [doi]
- Pulse and Breathing Motion Artifacts Correction of Intraoperative Thermal Imaging in NeurosurgeryYahya Moshaei-Nezhad, Martin Oelschlägel, Juliane Müller, Matthias Kirsch, Ronald Tetzlaff. 1887-1891 [doi]
- An Experimental Reliability Study of Pseudo-Resistors in Biomedical ApplicationsStefan Reich, Dominik Fritschi, Markus Sporer, Maurits Ortmanns. 1892-1895 [doi]
- Wireless Power Transfer for Smart Knee ImplantsManav Jain, Milutin Stanacevic, Ryan Willing, Sherry Towfighian, Emre Salman. 1896-1900 [doi]
- A 200GΩ-ZIN, <0.2%-THD CT-△Σ-Based ADC-Direct Artifact-Tolerant Neural Recording CircuitTania Moeinfard, Hossein Kassiri. 1901-1905 [doi]
- A 200mA-Load 0.62fs-FOM Active-Capacitor-Assisted Dual-loop Output Capacitorless Low-Dropout Regulator in Standard 65nm CMOSWeijie Han, Ziyang Luo, Chen Chen, Jin Liu, Hoi Lee. 1906-1909 [doi]
- A 0.73-to-1.71 V Capacitor-less Low-Noise Low-Dropout Regulator in 28-nm CMOSLantao Wang, Running Guo, Johannes Bastl, Jonas Meier, Michael Hanhart, Tim Lauber, Alexander Meyer, Ralf Wunderlich, Stefan Heinen. 1910-1913 [doi]
- 0C Temperature coefficient in 28nm CMOSRajasekhar Nagulapalli, Khaled Hayatleh, Nabil Yassine, S. Barker. 1914-1917 [doi]
- A 36pW CMOS Voltage Reference With Independent TC and Output Level Calibration for Miniature Low-Power SystemsYuyang Li, Inhee Lee. 1918-1922 [doi]
- A 156pW Gate-Leakage Based Voltage/Current Reference for Low-Power IoT SystemsAbhishek Pullela, Ashfakh Ali, Arpan Jain, Inhee Lee, Zia Abbas. 1923-1927 [doi]
- Advanced Block Partitioning Methods Beyond VVCKai Zhang 0007, Li Zhang, Zhipin Deng, Na Zhang, Yang Wang. 1928-1932 [doi]
- Multi-level Latent Fusion in Learning-based Image CodingJay N. Shingala, Arunkumar Mohananchettiar, Pankaj Sharma, Peng Yin 0002, Arjun Arora, Sean McCarthy, Taoran Lu, Fangjun Pu. 1933-1937 [doi]
- Optimized Bit Allocation for Learning-based Video CompressionZhaobin Zhang, Yue Li, Kai Zhang, Li Zhang, Yuwen He. 1938-1942 [doi]
- pyNeurode: a real-time neural signal processing frameworkWing-Kin Tam, Matthew F. Nolan. 1943-1947 [doi]
- Fast Transform Decision Scheme for VVC Intra-Frame Prediction Using Decision TreesMário Saldanha, Gustavo Sanchez, César A. M. Marcon, Luciano Agostini. 1948-1952 [doi]
- CNN-based Partitioning Structure Prediction for VVC Intra Speedup: Bottom-Up-based and Top-Down-basedYue Li, Li Zhang, Jizheng Xu. 1953-1957 [doi]
- Increasing the Accuracy of a Neural Network Using Frequency Selective Mesh-to-Grid ResamplingAndreas Spruck, Viktoria Heimann, André Kaup. 1963-1967 [doi]
- Customizable FPGA-based Accelerator for Binarized Graph Neural NetworksZiwei Wang, Zhiqiang Que, Wayne Luk, Hongxiang Fan. 1968-1972 [doi]
- A 32-ppm/°C 0.9-nW/kHz Relaxation Oscillator with Event-Driven Architecture and Charge Reuse TechniqueXinhang Xu, Siyuan Ye, Jihang Gao, Yihan Zhang, Linxiao Shen, Le Ye. 1973-1977 [doi]
- Design of a CMOS Parametric Frequency Divider with 2.4-GHz Output Frequency for RF Systems-on-a-ChipMengting Yan, Hussein M. E. Hussein, Cristian Cassella, Matteo Rinaldi, Marvin Onabajo. 1978-1982 [doi]
- A Multilevel N-Path Filter Topology for Low-Power Sinusoidal Clocking with Non-Overlapping PhasesLoai G. Salem, M. Mahmudul Hasan Sajeeb. 1983-1987 [doi]
- Automatic Design of High-Gain 26.5-to-29.5-GHz Transformer-Less Low-Noise Amplifier 1.86-to-8.87-mW Variants in 65-nm CMOSLuís Mendes, João Caldinhas Vaz, Fábio Passos, Nuno Lourenço 0003, Ricardo Martins 0003. 1988-1992 [doi]
- 16 Gbps, 19.6mW Ultralow-Power-Consumption Continuous-phase Frequency-shift-keying Transmitter in 65 nm CMOS technologyYanlu Wang, Muh-Dey Wei, Renato Negra. 1993-1997 [doi]
- Logic gate for sequenced data with nonlinear nanomechanical resonator driven by weak signalsYukihiro Tadokoro, Hiroya Tanaka. 1998-2002 [doi]
- A Wide Dynamic Range Read-out System For Resistive Switching TechnologyLijie Xie, Jiawei Shen, Andrea Mifsud, Chaohan Wang, Abdulaziz Alshaya, Christos Papavassiliou. 2003-2007 [doi]
- Resolving Unusual Gate Current and Dielectric Breakdown of Solution Processed Carbon Nanotube Thin Film TransistorSean F. Romanuik, Bishakh Rout, Pierre-Luc Girard-Lauriault, Sharmistha Bhadra. 2008-2011 [doi]
- An Area-efficient Unified Transform Architecture for VVCZhijian Hao, Qi Zheng, Yibo Fan, Guoqing Xiang, Peng Zhang, Heming Sun. 2012-2016 [doi]
- A 3.1 Gbin/s advanced entropy coding hardware design for AVS3Yujie Cai, Wei Li, Xiaoyang Zeng, Yibo Fan, Peng Zhang, Guoqing Xiang, Haibing Yin. 2017-2021 [doi]
- UCViT: Hardware-Friendly Vision Transformer via Unified CompressionHongRui Song, Ya Wang, Meiqi Wang, Zhongfeng Wang. 2022-2026 [doi]
- Intra Encoding Complexity Control with a Time-Cost Model for Versatile Video CodingYan Huang, Jizheng Xu, Li Zhang, Yan Zhao, Li Song. 2027-2031 [doi]
- Deep Feature Compression with Collaborative Coding of Image TextureLei Xiong, Hewei Liu, Shuyuan Zhu, Xiaozhen Zheng, Ruiqin Xiong, Bing Zeng. 2032-2036 [doi]
- Characterization of Sub-Nyquist TIA with Equalization in Optical ReceiversMohamed Ahmed, Tawfiq Musah. 2037-2041 [doi]
- Frequency Compensation Scheme for a Full GaN OpAmp driving 1-nF loadSalvatore Pennisi, Francesco Pulvirenti, Katia Samperi. 2042-2046 [doi]
- A 14-bit 1-GS/s SiGe Bootstrap Sampler for High Resolution ADC with 250-MHz InputJiazhang Song, Li-Yang Chen, Mau-Chung Frank Chang, Sudhakar Pamarti, Chih-Kong Ken Yang. 2047-2051 [doi]
- Integrating Ultra-thin SiGe BiCMOS Power Amplifier Chip in Combination with Flexible Antenna in the Polymer FoilSefa Özbek, Shuo Wang, Serafin B. Fischer, Markus Grözing, Joachim N. Burghartz, Jan Hesselbarth, Manfred Berroth. 2052-2056 [doi]
- High-Throughput Split-Tree Architecture for Nonbinary SCL Polar DecoderYaoyu Tao, Cedric Choi. 2057-2061 [doi]
- Efficient Check Node Processing for Min-Max NB-LDPC Decoding over Lower-Order Finite FieldsXinmiao Zhang. 2062-2066 [doi]
- Efficient Nested Key Equation Solver for Short Generalized Integrated Interleaved BCH CodesZhenshan Xie, Xinmiao Zhang. 2067-2071 [doi]
- System-Level Modeling and Design of a Temperature Compensated CMOS MEMS Thermal Flow SensorZhijuan Li, Zetao Fang, Bo Wang, Moaaz Ahmed, Xiaofang Pan, Su-Ting Han, Xiaojin Zhao, Wei Xu. 2072-2076 [doi]
- Remote PPG Estimation from RGB-NIR Facial Image Sequence for Heart Rate EstimationDao Q. Le, Jui-Chiu Chiang, Wen-Nung Lie. 2077-2081 [doi]
- A Real-time Respiration Monitoring System Using WiFi-Based Radar ModelWangdong Xie, Liangyu Gan, Chunqi Shi, Justin Wu, Yuehting Lee, Jinghong Chen, Runxi Zhang. 2082-2086 [doi]
- Distributed Body Sensor Network System Based on Stretchable Conductive Wires and Wearable Sensors for Motion DetectionSujie Chen, Xin Xi, Ruili Liu, Xiaojun Guo. 2087-2091 [doi]
- Handheld fluorometer for detection of blue/green fluorescenceKang Choi, Kasun Pathirage, Salman Azam, Pamela Abshire, Roy Anderson, Elisabeth Smela. 2092-2096 [doi]
- Redundancy Pruning for Binary Hyperdimensional Computing ArchitecturesRyan Albert G. Antonio, Anastacia B. Alvarez. 2097-2101 [doi]
- A Low Power DNN-based Speech Recognition Processor with Precision Recoverable Approximate ComputingBo Liu 0019, Xuetao Wang, Renyuan Zhang, Anfeng Xue, Ziyu Wang, Haige Wu, Hao Cai. 2102-2106 [doi]
- High-Speed Hardware Architecture for Post-Quantum Diffie-Hellman Key Exchange Based on Residue Number SystemRei Ueno, Naofumi Homma. 2107-2111 [doi]
- High performance VLSI architecture for the modified SORT-N algorithmPavan Kumar Ganjimala, Subrahmanyam Mula. 2112-2116 [doi]
- Reconfigurable Multifunction Computing Unit Using an Universal Piecewise Linear MethodFei Lyu 0002, Jian Chen, Shuo Huang, Wenxiu Wang, Yuanyong Luo, Yu Wang. 2117-2121 [doi]
- Cross-Grade Curriculum Group Based Teaching Experiment System for Innovative Design of IoT Intelligent Dynamic Measurement and ControlYu Zhang, Hongqing Ma, Peihong Li, Xihua Li 0004, Xiangdong Jin, Qimin Zhou, Xiaoxing Shi, Xinyu Jin, Huizhong Li. 2122-2126 [doi]
- Project-Based Learning: Bridging the Gap Between Algorithm and Architecture in Neural Network CourseHeming Sun, Lu Yu 0003. 2127-2131 [doi]
- Design of Network Security Experiment Teaching System Based on Honeypot TechnologyChen Zhu, Qiang Li, Bin Sun, Xinyu Jin, Yusun Zhou, Muhan Xie. 2132-2136 [doi]
- Addressing Retention and Improving Performance in Gateway Engineering CoursesMohsin M. Jamali, Sepehr Arbabi, Hossein Hosseini, Harishchandra Aryal. 2137-2141 [doi]
- Unsupervised Learning Based on Temporal Coding Using STDP in Spiking Neural NetworksCongyi Sun, Qinyu Chen, Kai Chen, Guoqiang He, Yuxiang Fu, Li Li. 2142-2146 [doi]
- A Compact Online-Learning Spiking Neuromorphic Biosignal ProcessorChaoming Fang, Ziyang Shen, Fengshi Tian, Jie Yang 0033, Mohamad Sawan. 2147-2151 [doi]
- A Spiking Neural Network with Resistively Coupled Synapses Using Time-to-First-Spike Coding Towards Efficient Charge-Domain ComputingYusuke Sakemi, Kai Morino, Takashi Morie, Takeo Hosomi, Kazuyuki Aihara. 2152-2156 [doi]
- An FPGA-Based Co-Processor for Spiking Neural Networks with On-Chip STDP-Based LearningThao N. N. Nguyen, Bharadwaj Veeravalli, Xuanyao Fong. 2157-2161 [doi]
- Improving Spiking Neural Network Accuracy Using Time-based NeuronsHanseok Kim, Woo-seok Choi. 2162-2166 [doi]
- Tensor-Based Hybrid Precoding Processor for 8 × 8 × 8 mmWave 3D-MIMO SystemsTsung-Lin Wu, Chung-An Shen, Yuan-Hao Huang. 2167-2171 [doi]
- Communication-efficient Federated Learning with Cooperative Filter SelectionZhao Yang, Qingshuang Sun. 2172-2176 [doi]
- A 266-3750 MHz Wide-Range Adaptive Phase-Rotator-Based All Digital DLL for LPDDR5 ControllersJeewan Lee, Yoonjae Choi, Chulwoo Kim. 2177-2181 [doi]
- An M-PSK Modulated Polar Transmitter Based on a Ring Oscillator with Low Power and Low Design Complexity for IoT ApplicationsFariborz Lohrabi Pour, Dong Sam Ha. 2182-2186 [doi]
- High Sensitivity Near-zero Power Wakeup Receiver for Backscattering RF TagsXiao Sha, PuYang Zheng, Milutin Stanacevic. 2188-2192 [doi]
- Multi-Attractor and Transient Stability of Islanded MicrogridJingxi Yang, Chi K. Tse, Dong Liu 0012. 2192-2196 [doi]
- Impedance Model and Stability Analysis of Offshore Wind Farm via AC Submarine CableJiaqi Yang, Zhen Li, Bin Liu, Chengze Li, He Li, Xiangdong Liu. 2197-2201 [doi]
- I-V Curve Tracer Based Intermittent Maximum Power Point Tracking for Photovoltaic SystemJonghyun Park, Yun Chan Im, Yong Sin Kim. 2202-2205 [doi]
- HERIC Based PV Inverter Using Partial Sinewave Tracking Dual Mode ControlKazunori Ito, Hirotaka Koizumi. 2206-2209 [doi]
- A Novel Approach to the Maximum Peak Power Tracking under Partial Shading conditionsImran Pervez, Charalampos Antoniadis, Yehia Massoud. 2210-2214 [doi]
- A Two-Way Current-Combining W-band Power Amplifier Achieving 17.4-dBm Output Power with 19.4% PAE in 65-nm Bulk CMOSZhiyang Zhang, Xi Wang, Junyan Ren, Shunli Ma. 2215-2219 [doi]
- Energy-Efficient Intelligent Pulmonary Auscultation for Post COVID-19 Era Wearable Monitoring Enabled by Two-Stage Hybrid Neural NetworkBingqiang Liu, Ziyuan Wen, Hongling Zhu, Jinsheng Lai, Jiajun Wu 0006, Heng Ping, Wenqing Liu, Guoyi Yu, Jianmin Zhang, Zuozhu Liu, Hesong Zeng, Chao Wang. 2220-2224 [doi]
- Silk Piezoelectric Tactile Sensors: The Way Forward to Developing Intelligent Implantable SensorsSatish Bonam, Jose Joseph, Aditya Bhagavathi, Shiv Govind Singh, Siva Rama Krishna Vanjari. 2225-2228 [doi]
- Entrenching Decision Trees in a Robust Molecular Circuit ElementT. Venkatesan, Sreebrata Goswami, R. Stanley Williams, Sreetosh Goswami. 2229-2232 [doi]
- Molecular building blocks for non-linear circuitsT. Venkatesan, R. Stanley Williams, Sreebrata Goswami, Sreetosh Goswami. 2233-2236 [doi]
- Compact Thermo-Diffusion based Physical Memristor ModelIosif-Angelos Fyrigos, Theodoros Panagiotis Chatzinikolaou, Vasileios G. Ntinas, Stavros Kitsios, Panagiotis Bousoulas, Michail-Antisthenis I. Tsompanas, Dimitris Tsoukalas, Andrew Adamatzky, Antonio Rubio, Georgios Ch. Sirakoulis. 2237-2241 [doi]
- Efficient VLSI Architecture of Bluestein's FFT for Fully Homomorphic EncryptionShi-Yong Wu, Kuan-Yu Chen, Ming-Der Shieh. 2242-2245 [doi]
- Non-profiling based Correlation Optimization Deep Learning AnalysisJuncheng Chen, Jun-Sheng Ng, Nay Aung Kyaw, Ne Kyaw Zwa Lwin, Kwen-Siong Chong, Zhiping Lin, Joseph Sylvester Chang, Bah-Hwee Gwee. 2246-2250 [doi]
- A Lightweight and Efficient Schoolbook Polynomial Multiplier for SaberYuantuo Zhang, Yijun Cui, Ziying Ni, Dur-e-Shahwar Kundi, Dongsheng Liu, Weiqiang Liu. 2251-2255 [doi]
- An Asynchronous-Logic Masked Advanced Encryption Standard (AES) Accelerator and its Side-Channel Attack EvaluationsJun-Sheng Ng, Juncheng Chen, Nay Aung Kyaw, Ne Kyaw Zwa Lwin, Kwen-Siong Chong, Joseph Chang, Bah-Hwee Gwee. 2256-2260 [doi]
- Multi-Robot Formation Control using Collective Behavior Model and Reinforcement LearningJung-Chun Liu, Tsung-Te Liu. 2261-2265 [doi]
- A Novel Hardware-Efficient Network of Ergodic Cellular Automaton Neuron Models and its On-FPGA LearningHaruto Suzuki, Hiroyuki Torikai. 2266-2270 [doi]
- The Effect of Node Centrality on the Evolution of Cooperation in Social NetworksYajun Mao, Zhihai Rong. 2271-2275 [doi]
- ShareFloat CIM: A Compute-In-Memory Architecture with Floating-Point Multiply-and-Accumulate OperationsAn Guo, Yongliang Zhou, Bo Wang, Tianzhu Xiong, Chen Xue, Yufei Wang, Xin Si, Jun Yang. 2276-2280 [doi]
- Characterization of Single Event Upsets of Nanoscale FDSOI Circuits Based on the Simulation and Irradiation ResultsLuchang Ding, Chang Cai, Gengsheng Chen, Zehao Wu, Jing Zhang, Chang Wu, Jun Yu. 2281-2285 [doi]
- Implementation of Radiation Hardened Flip-Flops Based on Novel Fishbone LayoutsChang Cai, Zehao Wu, Jing Zhang, Luchang Ding, Lei Shen, Jun Yu, Yaqing Chi. 2286-2289 [doi]
- Advanced safety test solution for automotive SoC based on In-System-Test architectureSangsoon Im, Giha Nam, Sungcheol Park, Mijung Noh. 2290-2293 [doi]
- Safety Assurance System for Electric Vehicles Based on Infrared LiDARYiqing Mao, Xu Cheng, Yun Chen. 2294-2298 [doi]
- Short Floating-Point CNN Accelerator for Brain-Computer Interface to Decode Visual InformationShin-ichi O'Uchi, Ryusuke Hayashi. 2299-2303 [doi]
- SaleNet: A low-power end-to-end CNN accelerator for sustained attention level evaluation using EEGChao Zhang 0075, Zijian Tang, Taoming Guo, Jiaxin Lei, Jiaxin Xiao, Anhe Wang, Shuo Bai, Milin Zhang. 2304-2308 [doi]
- Multiphysiological Shallow Neural Network-Based Mental Stress Detection System for Wearable EnvironmentMuhammad Sheeraz, Abdul Rehman Aslam, Muhammad Awais Bin Altaf. 2309-2313 [doi]
- A Miniaturized Flexible Functional Near-infrared Spectroscopy System for Obstructive Sleep Apnea DetectionXude Huang, Shuwei Zhang, Chen Chen, Wei Chen. 2314-2317 [doi]
- A 73 μW single channel Photoplethysmography-based Blood Pressure Estimation ProcessorAbdul Rehman Aslam, Muhammad Awais Bin Altaf, Wala Saadeh. 2318-2322 [doi]
- Multispectral and Multimodal Image Registration Based on a Dynamic Fusion IndexJunxue Zheng, Cheolkon Jung. 2323-2327 [doi]
- Multi-scale Adaptive Dual Attention for Image Defocus Blur DetectionYue Li 0013, Xuechun Han, Wei Wang 0250. 2328-2332 [doi]
- SUNet: Swin Transformer UNet for Image DenoisingChi-Mao Fan, Tsung-Jung Liu, Kuan-Hsien Liu. 2333-2337 [doi]
- An End-to-end Computer Vision System ArchitectureLing Zhang, Wei Zhou 0037, Xiangyu Zhang, Xin Lou. 2338-2342 [doi]
- An MPSoC-based on-line Edge Infrastructure for Embedded Neuromorphic Robotic ControllersE. Piñnero-Fuentes, Salvador Canas-Moreno, Antonio Rios-Navarro, Daniel Cascado Caballero, Angel Jiménez-Fernandez, Alejandro Linares-Barranco. 2343-2347 [doi]
- Comparison of the Resilience of Convolutional and Cellular Neural Networks Against Adversarial AttacksAndrás Horváth. 2348-2352 [doi]
- Hotspot Prediction of Network-on-Chip for Neuromorphic Processor with Liquid State MachineZiyang Kang, Xu Xiao, Shiming Li, Lei Wang, Yao Wang. 2353-2357 [doi]
- Improve 3D Feature Extraction and Fusion for Stage Diagnosis of Alzheimer's DiseaseMingjin Liu, Wenxin Yu, Jialiang Tang, Ning Jiang, Kang Xu, Chang Liu. 2358-2362 [doi]
- Exploring the Impact of Adding Adversarial Perturbation onto Different Image RegionsRuijie Yang, Yuanfang Guo, Ruikui Wang, Xiaohan Zhao, Yunhong Wang. 2363-2367 [doi]
- Event-Based Resilient Consensus of Second-Order Multi-Agent Systems Under Dos AttacksMei Sun, Deguang Lyu, Qiang Jia. 2368-2372 [doi]
- Delay propagation for a High-speed Railway Network with the Consideration of Primary Delay DerivationWenbo Lian, Xingtang Wu, Min Zhou 0003, Qinpei Duan, Hairong Dong. 2373-2377 [doi]
- A Nano-power Wake-up Circuit for Energy-driven IoT ApplicationsLi Teng, Junrui Liang, Sijun Du. 2383-2387 [doi]
- Quality-Aware Merge Candidate Construction For Video CodingLei Zhao, Kai Zhang, Li Zhang. 2388-2392 [doi]
- An Always-On tinyML Acoustic Classifier for Ecological ApplicationsH. R. Sabbella, A. R. Nair, V. Gumme, S. S. Yadav, S. Chakrabartty, Chetan Singh Thakur. 2393-2396 [doi]
- Single-Axis Capacitive Accelerometer Chip with AC Offset Suppression LoopHeng-Yu Chiu, Shih-Meng Tseng, Yi-Chen Chen, Chia-Ling Wei. 2397-2400 [doi]
- A Compact Low-Noise Digital Pixel with 15-bit Two-Step PFM-based ADC for IRFPAsShanzhe Yu, Yacong Zhang, Ye Zhou, Runkun Zhu, Wengao Lu, Zhongjian Chen. 2401-2404 [doi]
- A Nanowatt Comparator with Feedforward Slew Rate Enhancement and PVT-Insensitive Bias for Always-on MEMS Switch Wake-up SensorJinhen Lee, Jianming Zhao, Yuan Gao 0011. 2405-2408 [doi]
- Electronic technique and system for non-contact reading of temperature sensors based on piezoelectric MEMS resonatorsMarco Baù, Marco Zini, Alessandro Nastro, Marco Ferrari 0002, Vittorio Ferrari, Joshua E.-Y. Lee. 2409-2413 [doi]
- tinyRadar: mmWave Radar based Human Activity Classification for Edge ComputingSatyapreet Singh Yadav, Radha Agarwal, Kola Bharath, Sandeep Rao, Chetan Singh Thakur. 2414-2417 [doi]
- N PUF: Harvesting Multiple Independent Bits From Each PUF Cell in 65nmYizhak Shifman, Alexander Fish, Joseph Shor. 2418-2422 [doi]
- Semi-supervised Trojan Nets Classification Using Anomaly Detection Based on SCOAP FeaturesPei-Yu Lo, Chi-Wei Chen, Wei-Ting Hsu, Chih-Wei Chen, Chin-Wei Tien, Sy-Yen Kuo. 2423-2427 [doi]
- Horizontal Correlation Analysis without Precise Location on Schoolbook Polynomial Multiplication of Lattice-based CryptosystemChuanchao Lu, Yijun Cui, Yang Li, Dur-e-Shahwar Kundi, Chenghua Wang, Weiqiang Liu. 2428-2432 [doi]
- 2, 953Gbps/W AES Accelerator for IoT Applications in 40nm CMOSJingjing Lan, Vishnu P. Nambiar, Ming Ming Wong, Fei Li, Yuan Gao, Kevin Tshun Chuan Chai, Anh-Tuan Do. 2433-2437 [doi]
- A High Area-Efficiency RRAM-Based Strong PUF with Multi-Entropy Source and Configurable Double-Read ProcessXianwu Hu, Yu Wang, Jiayun Feng, Zizhao Ma, Xiaoyang Zeng, Yufeng Xie. 2438-2442 [doi]
- Wearable Bowel Sound Monitoring with Quality Enhancement using U-netKang Zhao, Shulin Feng, Hanjun Jiang, Zhihua Wang, Ping Chen, Binjie Zhu, Xianglong Duan. 2443-2447 [doi]
- A 2MHz CMOS Active Rectifier With PWM Mode Adaptive On/Off Delay Compensation for Wireless Power Transfer SystemsKai Shan Zheng, Xin Liu, Qian Su, Xiaosong Wang, Yu Liu 0030. 2448-2452 [doi]
- Towards Task-aware Signal Compression for Efficient Continuous Health MonitoringDi Wu, Jie Yang, Mohamad Sawan. 2453-2457 [doi]
- Learning-based Algorithm for Real Imaging System Enhancement: Acoustic Resolution to Optical Resolution Photoacoustic MicroscopyZhengyuan Zhang, Haoran Jin, Zesheng Zheng, Yuanjin Zheng. 2458-2462 [doi]
- A Flexible-Window Filtering Technique for Interference Suppression in SpO2 MonitoringXin Hu, Yuxuan Luo, Yong Chen, Bo Zhao. 2463-2466 [doi]
- Spectre attack detection with Neutral Network on RISC-V processorAnh-Tien Le, Trong-Thuc Hoang, Ba-Anh Dao, Akira Tsukamoto, Kuniyasu Suzaki, Cong-Kha Pham. 2467-2471 [doi]
- An Energy-Efficient YOLO Accelerator Optimizing Filter Switching ActivityKyeongjong Lim, Gyuri Kim, Taehyung Park, Xuan Truong Nguyen, Hyuk-Jae Lee. 2472-2476 [doi]
- A Novel Deep Learning Model for Link Prediction of Knowledge GraphShuai Ding 0004, Qinghan Lai, Zihan Zhou 0010, Jinghao Gong, Jin'an Cui, Song Liu. 2477-2481 [doi]
- RARN: A Real-Time Skeleton-based Action Recognition Network for Auxiliary Rehabilitation TherapyMengqi Shen, Hong Lu. 2482-2486 [doi]
- A High-Linearity 14GHz 7b Phase Interpolator for Ultra-High-Speed Wireline ApplicationsNinghuang Li, Weixin Gai, Bingyi Ye, Haowei Niu, Lei Lu. 2487-2490 [doi]
- A Two-Stage Digital Predistortion Method for Quadrature Digital Power AmplifiersFu Gao, Yun Yin, Yicheng Li, Jie Lin, Hongtao Xu. 2491-2495 [doi]
- Compressive Sensing Based Hardware Design for Channel Estimation of Wideband Millimeter Wave Hybrid MIMO SystemChung-Lun Tu, Tse-Yuan Lin, Kang-Lun Chiu, Shyh-Jye Jou, Pei-Yun Tsai. 2496-2500 [doi]
- A 23.5-28.5 GHz High-Gain CMOS Transceiver Based on LO Phase-Shifting Architecture With Broadband LO/IF for 5G CommunicationsQingfeng Zhang, Chenxi Zhao, Yiming Yu, Yunqiu Wu, Huihua Liu, Wenquan Che, Quan Xue, Kai Kang. 2501-2505 [doi]
- A Low-latency Carrier Phase Recovery Hardware for Coherent Optical CommunicationLiyu Lin, Kaihui Wang, Yun Chen, Jianjun Yu, Xiaoyang Zeng. 2506-2510 [doi]
- A Wide-Load-Range Tri-Mode Buck Converter with Seamless Mode TransitionYanxia Yao, Menglian Zhao, Xiaobo Wu. 2511-2515 [doi]
- A Digital-Control Buck Converter with Dual Pulse-Skipping Modes for Internet of ThingsTsung-Wen Sun, Kuan-Yu Liao, Tsung-Heng Tsai. 2516-2519 [doi]
- Partitioning Scheme and Performance Analysis of Distributed Digital Low-Dropout Regulators in SoCXuliang Wang, Wing-Hung Ki. 2520-2524 [doi]
- A Self-Regulating Negative Charge Pump Using Multi-Phase Clock for Wideband ADCsHaoran Wang, Junjie Jing, Fule Li. 2525-2528 [doi]
- An FPGA-based HW/SW Co-Verification Environment for Programmable Network DevicesMengyue Su, Jean-Pierre David, Yvon Savaria, Bill Pontikakis, Thomas Luinaud. 2529-2533 [doi]
- Entropy-based Thermal Sensor Allocation for Temperature-aware Multi-core PlatformsKun-Chih Jimmy Chen, Chia-Hsin Chen. 2534-2537 [doi]
- A Soft RISC-V Processor IP with High-performance and Low-resource consumption for FPGATian Zheng, Gang Cai, Zhihong Huang. 2538-2541 [doi]
- Design Techniques of High Speed PHY using Highly compact FOVEROS Through Silicon ViaSanjib Basu, Dror Lazar, Rupesh Pothineni. 2542-2546 [doi]
- Impact of Sheet Width and Silicon Height in 3D Stacked Nanosheet GAA Transistor TechnologyAnil Kumar Gundu, Volkan Kursun. 2547-2550 [doi]
- A Computing-in-Memory SRAM Macro Based on Fully-Capacitive-Coupling With Hierarchical Capacity Attenuator for 4-b MAC OperationKanglin Xiao, Xiaoxin Cui, Xin Qiao, Nanbing Pan, Xin'an Wang, Yuan Wang 0001. 2551-2555 [doi]
- A Reconfigurable 8T SRAM Macro for Bit-Parallel Searching and Computing In-MemoryYuzong Chen, Junjie Mu, Hyunjoon Kim, Lu Lu 0013, Tony Tae-Hyoung Kim. 2556-2560 [doi]
- A 28nm 64Kb SRAM based Inference-Training Tri-Mode Computing-in-Memory MacroNanbing Pan, Xiaoxin Cui, Xin Qiao, Kanglin Xiao, Qingyu Guo, Yuan Wang. 2561-2565 [doi]
- A Highly Parallel Fine-Grained Sort-Merge Join on Near Memory ComputingPo-Yen Lin, Yen-Shi Kuo, Bo-Cheng Lai. 2566-2570 [doi]
- An Area-Efficient and Robust Memristive LUT Based on the Enhanced Scouting Logic CellsXiaole Cui, Fan Liu, Sunrui Zhang, Xiaoxin Cui. 2571-2575 [doi]
- nd-Order Continuous Time Sigma-Delta Modulator for Current-Sensing SystemsYi-Ting Hsieh, Shih-Shuo Chang, Hao-Yun Lee, Ju-Yi Chen, Shuenn-Yuh Lee. 2576-2579 [doi]
- High-Pass Sigma-Delta Modulator with Operational Amplifier Sharing and Noise-Coupling Technique for Biomedical Signal AcquisitionHao-Yun Lee, Chia-Ho Kung, Po-Han Su, Ju-Yi Chen, Shuenn-Yuh Lee. 2580-2583 [doi]
- A 100dB-TCMRR 8-Channel Bio-Potential Front-End with Multi-Channel Common-Mode ReplicationBorui Tan, Sanfeng Zhang, Chen Gao, Xiong Zhou, Qiang Li. 2584-2588 [doi]
- Robust Recovery of Sparse Signal from Compressed Measurements for Wireless Sensor NetworksKetan Atul Bapat, Mrityunjoy Chakraborty. 2589-2593 [doi]
- Robust Diffusion Average Strategy Over Distributed Networks with Impulsive Link NoiseZhao Zhang, Sheng Zhang, Wei Xing Zheng 0001. 2594-2598 [doi]
- Adaptive Combination of Two Multi-Sample Multiband-Structured Subband Adaptive FiltersYishu Peng, Sheng Zhang, Wei Xing Zheng 0001. 2599-2603 [doi]
- Antenna Selection Design of Crossed-Dipole Arrays for Multi-Beam Multiplexing Based on a Hybrid Beamforming StructureJunwei Zhang, Wei Liu. 2604-2608 [doi]
- Multi-level Attention Fusion for Multimodal Driving Maneuver RecognitionJing Liu, Yang Liu, Chengwen Tian, Mengyang Zhao, Xinhua Zeng, Liang Song. 2609-2613 [doi]
- A RISC-V-based Research Platform for Rapid Design CycleEsteban Garzón, Roman Golman, Odem Harel, Tzachi Noy, Yehuda Kra, Asaf Pollock, Slava Yuzhaninov, Yonatan Shoshan, Yehuda Rudin, Yoav Weizman, Marco Lanuzza, Adam Teman. 2614-2615 [doi]
- A Bio-mimetic Leaf Wetness SensorBrian H. Nguyen, Gregory S. Gilbert, Marco Rolandi. 2616-2620 [doi]
- Improving Pin Accessibility of Standard Cells Through Fin DepopulationDoyeon Won, Taewhan Kim. 2621-2622 [doi]
- Optimizing Timing in Placement Through I/O Signal Flipping on Multi-bit Flip-flopsSoomin Kim, Taewhan Kim. 2623-2624 [doi]
- A Wireless Power Transfer System with Regulated Receiver Based on LCC-S Compensation and Global ControlHaoyu Cai, Yilin Zhao, Xian Tang. 2625-2629 [doi]
- A Current-Injection-Based Flying Capacitor Balancing Circuit for Three-Level DC-DC ConverterZhitong Chen, Shiying Liu, Yong Chen, Xiaoya Fan, Yanzhao Ma. 2630-2634 [doi]
- Achievement of CV and CC Output Modes on Class-E/F Inverter with One Auxiliary SwitchWenqi Zhu, Yutaro Komiyama, Kien Nguyen, Hiroo Sekiya. 2635-2639 [doi]
- A Low-Input Capacitance 12-bit SAR ADC for use in Self-Powered IoT NodesNima Shahpari, Mehdi Habibi, Piero Malcovati, Jose M. de la Rosa. 2640-2644 [doi]
- Editable asynchronous control logic for SAR ADCsTian Lan, Fei Xia, Gang Mao, Shengqi Yu, Rishad A. Shafik, Alex Yakovlev. 2645-2649 [doi]
- A 3bit/cycle 1GS/s 8-bit SAR ADC Employing Asynchronous Ping-Pong Quantization SchemeYuekang Guo, Xiaoming Liu 0008, Jing Jin 0005, Jianjun Zhou. 2650-2654 [doi]
- A 2.5-GS/s Time-Interleaved SAR-Assisted Ringamp-Based Pipelined ADC with Digital Background CalibrationJingchao Lan, Danfeng Zhai, Yongzhen Chen, Zhekan Ni, Xingchen Shen, Fan Ye 0001, Junyan Ren. 2655-2659 [doi]
- An Auxiliary-Loop-Enhanced Fast-Transient FVF LDO as Reference Buffer of a SAR ADCYi Zeng, Chi-Hang Chan, Yan Zhu 0001, Rui Paulo Martins. 2660-2664 [doi]
- A Real Time Super Resolution Accelerator with Tilted Layer FusionAn-Jung Huang, Kai-Chieh Hsu, Tian-Sheuan Chang. 2665-2669 [doi]
- An Efficient Hardware Accelerator for Sparse Transformer Neural NetworksChao Fang, Shouliang Guo, Wei Wu, Jun Lin, Zhongfeng Wang, Ming-Kai Hsu, Lingzhi Liu. 2670-2674 [doi]
- PCFBCD: An Innovative Approach to Accelerating Collaborative FilteringBin Zhang, Haitao Du, Song Chen 0001, Yi Kang. 2675-2679 [doi]
- 2 128nW MFCC Engine for Ultra-low Power, Always-on Smart Sensing ApplicationsYi Sheng Chong, Wang Ling Goh, Yew-Soon Ong, Vishnu P. Nambiar, Anh-Tuan Do. 2680-2684 [doi]
- A Reconfigurable Approach for Deconvolutional Network Acceleration with Fast AlgorithmPeixiang Yang, Wendong Mao, Zhongfeng Wang, Jun Lin. 2685-2689 [doi]
- An Event-Driven Compressive Neuromorphic System for Cardiac Arrhythmia DetectionJinbo Chen, Fengshi Tian, Jie Yang 0033, Mohamad Sawan. 2690-2694 [doi]
- NIMBLE: A Neuromorphic Learning Scheme and Memristor Based Computing-in-Memory Engine for EMG Based Hand Gesture RecognitionFengshi Tian, Jingwen Jiang, Jinhao Liang, Zhiyuan Zhang, Jiahe Shi, Chaoming Fang, Hui Wu, Xiaoyong Xue, Xiaoyang Zeng. 2695-2699 [doi]
- An Alignment-Based Hardware Accelerator for Rapid Prediction of RNA Secondary StructuresShih-Shiuan Weng, Yang-Ming Yeh, Yu-Cheng Li, Yi-Chang Lu. 2700-2704 [doi]
- Design of a Multi-Mode Animal Behavior Analysis System with Dual-View Video and Wireless Bio-Potential AcquisitionJiaxin Lei, Shimeng Wang, Weining Li, Deng Luo, Xiaoyan Ma, Dandan Hui, Zhe Zhao, Xiong Zhong, Milin Zhang. 2705-2709 [doi]
- A 16-Channel Neural Recorder with 2.8 nJ/bit, 971.4 kbps sub-2.4 GHz polar transmitterWei Song, Heng Huang, Yusong Wu, Xiliang Liu, Chao Sun, Zijian Tang, Xiaoyan Ma, Tianhe Jiang, Xiong Zhong, Milin Zhang. 2710-2714 [doi]
- RGBD-based Hardware Friendly Head Pose Estimation System via Convolutional attention moduleYen-Yu Cheng, Ching-Te Chiu, Yi-Fan Chen. 2715-2719 [doi]
- S-SIM: A Simulator for Systolic Array-based DNN Accelerators with Tile Access AwarenessYuhang Li, Mei Wen, Renyu Yang, Junzhong Shen, Yasong Cao, Jianan Wang. 2720-2724 [doi]
- A Heterogeneous FPGA-based Accelerator Design for Efficient and Low-cost Point Clouds Deep Learning InferenceJinling Xu, Yonggui Wang, Wenbiao Zhouy. 2725-2729 [doi]
- CSL-YOLO: A Cross-Stage Lightweight Object Detector with Low FLOPsYu-ming Zhang, Chun-Chieh Lee, Jun-Wei Hsieh, Kuo-Chin Fan. 2730-2734 [doi]
- Music to Dance: Motion Generation Based on Multi-Feature Fusion StrategyYufei Gao, Wenxin Yu, Xuewen Zhang, Xin Deng, Zhiqiang Zhang. 2735-2739 [doi]
- A Class-C Injection-Locked Tripler with 48 dB Sub-Harmonic Suppression and 15 fs Additive RMS Jitter in 0.13μm BiCMOS ProcessSonam Sadhukhan, Pranav Kumar, Arpan Thakkar, Apoorva Bhatia, Saurabh Saxena. 2740-2744 [doi]
- A Fast Locking Ring Oscillator Based Fractional-N DPLL With an Assistance From a LUT-Based FSMZeeshan Ali, Pallavi Paliwal, Rupesh Lad, Dhanraj Bhukya, Shalabh Gupta. 2745-2749 [doi]
- A Type-3 FMCW Radar Synthesizer with Wide Frequency Modulation BandwidthCheng-Tang Chen, Yu-Hong Yang, Tai-Cheng Lee. 2750-2753 [doi]
- A Dickson Hybrid Boost Converter With On-Chip Cold-Start for Thermoelectric Energy HarvestingChenkang Xue, Lijie Shao, Linhu Zhao, Xu Yang, Hang Zhou, Yuqiu Lin, Yong Ding 0003, Wuhua Li, Wanyuan Qu. 2754-2757 [doi]
- Performance Enhancement with a Capacitor-Scaling Design for SSHC Piezoelectric Energy Harvesting InterfacesYiwei Zou, Sijun Du. 2758-2762 [doi]
- A Battery-free Pavement Roughness Estimation System Based on Kinetic Energy HarvestingHailiang Yang, Li Teng, Junrui Liang. 2763-2767 [doi]
- A Cross Regulation Reduced Multi-Output and Multi-VCR Piezoelectric Energy Harvesting System Using Shared CapacitorsJing Wang, Zhiyuan Chen, Junrui Liang, Xu Cheng 0002, Jun Han 0003, Xiaoyang Zeng. 2768-2772 [doi]
- Sub-50-mV Charge Pump and its Driver for Extremely Low-Voltage Thermal Energy HarvestingHikaru Sebe, Daisuke Kanemoto, Tetsuya Hirose. 2773-2777 [doi]
- Ultra Compact and Linear 4-bit Digital-to-Analog Converter in 22nm FDSOI TechnologyHossein Eslahi, Tara J. Hamilton, Sourabh Khandelwal. 2778-2781 [doi]
- A 12-bit Segmented Current-Steering DAC With High-Speed DeserializerRongxing Qin, Fei You, Mingming Ma, Qian He, Songbai He. 2782-2786 [doi]
- Zero-crossing-prediction-based Single-slope ADC with a Constant Charge Bias Amplifier for Low Power Image SensorsKeunyeol Park, Hohyeon Lee, Soo Youn Kim. 2787-2791 [doi]
- Analysis of Flash ADC Loading on the Performance of a Continuous-Time Pipelined ADCChaitanya Kumar, Saravana Manivannan, Shanthi Pavan. 2792-2796 [doi]
- Experimental and Theoretical Analysis of Stateful Logic in Passive and Active Crossbar Arrays for Computation-in-MemoryChristopher Bengel, Stefan Wiefels, Vikas Rana, Hsin-Yu Chen, Qing-Tai Zhao, Rainer Waser, Henriette Padberg, Fengben Xi, Stephan Menzel. 2792-2796 [doi]
- Generalized Resistive DAC Analysis Through Unitized T-Network ElementT. Bharath, Rohit Narula, Preetam Tadeparthy. 2797-2801 [doi]
- An Efficient Hardware Architecture for DNN Training by Exploiting Triple SparsityJian Huang, Jinming Lu, Zhongfeng Wang. 2802-2805 [doi]
- NNASIM: An Efficient Event-Driven Simulator for DNN Accelerators with Accurate Timing and Area ModelsXiaoling Yi, Jiangnan Yu, Zheng Wu, Xiankui Xiong, Dong Xu, Chixiao Chen, Jun Tao, Fan Yang. 2806-2810 [doi]
- A High-Speed Codec Architecture for Lagrange Coded ComputingBohang Xiong, Jing Tian 0004, Zhongfeng Wang. 2811-2815 [doi]
- A 11.6μ W Computing-on-Memory-Boundary Keyword Spotting Processor with Joint MFCC-CNN Ternary QuantizationXinru Jia, Haozhe Zhu, Yunzheng Wang, Jinshan Zhang 0006, Feng Lin, Xiankui Xiong, Dong Xu, Chixiao Chen, Qi Liu. 2816-2820 [doi]
- BSRA: Block-based Super Resolution Accelerator with Hardware Efficient Pixel AttentionDun-Hao Yang, Tian-Sheuan Chang. 2821-2825 [doi]
- An Ultra Compact Neural Front-End with CT-NEO Based Spike Detection for Implantable ApplicationsTongtong Guo, Huaiyu Liu, Yan Liu 0016. 2826-2830 [doi]
- A High Resolution Chemical Sensing Front-end with Integrated Sigma Delta QuantisationXiuli Zhang, Jinge Ma, Yuanqi Hu. 2831-2835 [doi]
- Photoacoustic Dual-mode Microsensor Based on PMUT TechnologyYiYun Wang, Junxiang Cai, Tao Wu, Fei Gao 0010. 2836-2840 [doi]
- Pulse Transition Characterization from Electrocardiography and Photoplethysmography for Non-Invasive Blood Pressure EstimationHazem Mohammed, Hao Wu, Guoxing Wang. 2841-2845 [doi]
- A Question Embedding-based Method to Enrich Features for Knowledge Base Question AnsweringXin Wang, Meng Lin, Qianqian Lu. 2851-2855 [doi]
- A Point Matching Strategy of 3D Loss Function for Single RGB Images Deep Mesh ReconstructionXin Deng, Ning Jiang, Shiyu Chen, Jiarui Cheng, Yufei Gao, Wenxin Yu. 2856-2860 [doi]
- SFCN: Spoon Fully Convolutional Networks for Pulse LocalizationBohong Yang, Kai Meng, Hong Lu 0001, Xing Zhu, Jingjing Luo. 2861-2865 [doi]
- Hybrid Uncalibrated Near-light Photometric Stereo in Realistic EnvironmentWu Ran, Xingsong Liu, Wang Feng, Hong Lu 0001, Bohong Yang, Xing Zhu, Jingjing Luo. 2866-2870 [doi]
- Mixed-Precision Quantization of U-Net for Medical Image SegmentationLiming Guo, Wen Fei, Wenrui Dai, Chenglin Li, Junni Zou, Hongkai Xiong. 2871-2875 [doi]
- A Fully Synthesizable Dynamic Latched Comparator with Reduced Kickback NoiseMin Li, Jue Wang, Xu Cheng, Xiaoyang Zeng. 2876-2880 [doi]
- A Ring-Oscillator Sub-Sampling PLL With Hybrid Loop Using Generator-Based Design FlowZhongkai Wang, Minsoo Choi, John Charles Wright, Kyoungtae Lee, Zhaokai Liu, Bozhi Yin, Jaeduk Han, Sijun Du, Elad Alon. 2881-2885 [doi]
- A Batch Bayesian Optimization Approach For Analog Circuit Synthesis Based On Multi-Points Selection CriterionXu Fu, Changhao Yan, Zhaori Bi, Fan Yang, Dian Zhou, Xuan Zeng 0001. 2886-2890 [doi]
- Variation-Aware Analog Circuit Sizing in Carbon NanotubeZahra Heshmatpour, Lihong Zhang, Howard M. Heys. 2891-2894 [doi]
- Fogging-Effect-Aware Mixed-Signal IC Placement with Reinforcement LearningMohammad Hajijafari, Mehrnaz Ahmadi, Zhenxin Zhao, Lihong Zhang. 2895-2899 [doi]
- A Hybrid Boost Converter with Regulated Flying Capacitor Voltage and Reduced Inductor Current for LED LightingChuang Wang 0004, Zixiao Lin, Yan Lu 0002, Xiuping Li, Rui Paulo Martins. 2900-2904 [doi]
- A Novel Constant Current Control Strategy with Seamless Switching between CC and CVYue Shi, Xue Ai, Junyuan Rong, Zekun Zhou, Bo Zhang. 2905-2908 [doi]
- A High-Voltage Inverting Converter Based on COT Controlled Buck Regulator with On-Chip Ripple Compensation TechniqueYanzhao Ma, Zhitong Chen, Xiaoxu Chen, Xue Xia, Long Zhang, Xiaoya Fan. 2909-2913 [doi]
- A 6.78MHz Regulating Rectifier With Constant On-Time Control for High Resolution and Ultra-Fast Transient ResponseKai Cui 0006, Yufei Sun, Xiaoya Fan, Yanzhao Ma. 2914-2918 [doi]
- Triple Binary SAR Control in Distributive Digital Low Dropout Regulators for 3.6ns Fast Transient Response and 0.4mV Low Output Voltage RippleBo-Kuan Wu, Tzu-Ying Wu, Sheng-Cheng Lee, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai. 2919-2922 [doi]
- A 40-nm CMOS Wide Input Range and Variable Gain Time-Difference Amplifier Based on Current Source ArchitectureLi Lin, Lean Karlo S. Tolentino, Chua-Chin Wang. 2923-2927 [doi]
- An Accurate Modelling of CMOS Folded Cascode Op-Amp with Negative TransconductanceB. Krishnanunni, Devraj M. Rajagopal, Rohan Sinha. 2928-2932 [doi]
- A Synthesis Friendly Dynamic Amplifier with Fuzzy-Logic Piecewise-Linear CalibrationJiawei Wang, Jue Wang, Xu Cheng, Jun Han 0003, Xiaoyang Zeng. 2933-2937 [doi]
- Using the Miller Theorem to Analyze Two-Stage Miller-Compensated OpampsR. S. Ashwin Kumar. 2938-2942 [doi]
- Bandwidth-enhanced Feed-forward Amplifier with Shared Class-AB Gain and Compensation PathsMayank Anupam, Harshit Rathore, Imon Mondal. 2943-2947 [doi]
- An Automated Approach to Compare Bit Serial and Bit Parallel In-Memory Computing for DNNsAlok Parmar, Kailash Prasad, Nanditha Rao, Joycee Mekie. 2948-2952 [doi]
- Edge Computation-in-Memory for In-situ Class-incremental Learning with Knowledge DistillationShinsei Yoshikiyo, Naoko Misawa, Chihiro Matsui, Ken Takeuchi. 2953-2957 [doi]
- Recovering Accuracy of RRAM-based CIM for Binarized Neural Network via Chip-in-the-loop TrainingYi Sheng Chong, Wang Ling Goh, Yew-Soon Ong, Vishnu P. Nambiar, Anh-Tuan Do. 2958-2962 [doi]
- Compute-In-Memory Using 6T SRAM for a Wide Variety of WorkloadsPramod Kumar Bharti, Saurabh Jain, Kamlesh R. Pillai, Sagar Varma Sayyaparaju, Gurpreet S. Kalsi, Joycee Mekie, Sreenivas Subramoney. 2963-2967 [doi]
- PSCNN: A 885.86 TOPS/W Programmable SRAM-based Computing-In-Memory Processor for Keyword SpottingShu-Hung Kuo, Tian-Sheuan Chang. 2968-2972 [doi]
- INIT: Inpainting Network for Incomplete TextFanfu Xue, Jia Zhang, Jiande Sun, Jinghui Yin, Liming Zou, Jing Li. 2973-2977 [doi]
- An 81.92Gpixels/s Fast Reconstruction of Images from Compressively Sensed MeasurementsJiayao Xu, Chi Do-Kim Pham, Chen Fu, Jinjia Zhou. 2978-2982 [doi]
- The Hierarchical Ensemble Model for Network Intrusion Detection in the Real-world DatasetLei Chen, Shao-En Weng, Chu-Jun Peng, Yin-Chi Li, Hong-Han Shuai, Wen-Huang Cheng. 2983-2987 [doi]
- Collaborative Scalable Visual Compression for Human-Centered VideosHaofeng Huang, Wenhan Yang, Wei Xiang, Jiaying Liu 0001, Ling-Yu Duan. 2988-2992 [doi]
- Multi-concept Mining for Video Captioning Based on Multiple TasksQinyu Zhang 0005, Pengjie Tang, Hanli Wang, Jinjing Gu. 2993-2997 [doi]
- Automated Quantization Range Mapping for DAC/ADC Non-linearity in Computing-In-MemoryChi-Tse Huang, Yu-Chuan Chuang, Ming-Guang Lin, An-Yeu Andy Wu. 2998-3002 [doi]
- SpikingSIM: A Bio-Inspired Spiking SimulatorJunwei Zhao, Shiliang Zhang, Lei Ma 0008, Zhaofei Yu, Tiejun Huang 0001. 3003-3007 [doi]
- Multi-View RGB-D Based 3D Point Cloud Face Model Reconstruction SystemJie-Yu Luo, Ching-Te Chiu, An-Ting Hsieh. 3008-3012 [doi]
- Efficient Neural Networks with Spatial Wise Sparsity Using Unified Importance MapChen Tang, Wenyu Sun, Wenxun Wang, Zhuqing Yuan, Yongpan Liu. 3013-3017 [doi]
- Bayesian Deep Active Learning for Analog Circuit Performance ClassificationLining Zhang, Salahuddin Raju, Ashish James, Rahul Dutta, Gregoire Fournier, Damien Lancry, Kevin Chai Tshun Chuan, Vijay Ramaseshan Chandrasekhar, Chuan-Sheng Foo. 3018-3022 [doi]
- LCU-level Rate-Distortion Optimization for Versatile Video CodingGencheng Xu, Shiyu Jin, Kaichen Tang, Zijing Zhang, Yimin Zhou 0002. 3023-3027 [doi]
- Fast Intra Mode Decision for VVC Based on Histogram of Oriented GradientAorui Gou, Heming Sun, Jiro Katto, Tingting Li, Xiaoyang Zeng, Yibo Fan. 3028-3032 [doi]
- An Online SVM Based VVC Intra Fast Partition Algorithm With Pre-Scene-cut DetectionChao Shu, Chao Yang, Ping An. 3033-3037 [doi]
- An Optimization Algorithm for Color Table Coding of Palette for VVC Based on DPCM and CCLPMinghong Mo, Fan Liang, Jun Wang. 3038-3042 [doi]
- Hierarchical Coding for Talking-Head VideoYu Liu, Shibo Li, Shuyuan Zhu, Siu-Kei Au-Yeung, Xing Wen, Bing Zeng. 3043-3047 [doi]
- A 0.6V 150mA 4-Stage Output-Capacitorless LDO Regulator using Feedforward with Embedded Miller-RC CompensationJinhen Lee, Pak Kwong Chan. 3048-3052 [doi]
- An Integrated DC-DC Converter with Novel Asymmetrical Segmented Power-Stages for Sustained High Power-EfficienciesJinhen Lee, Victor Adrian, Joseph Chang, Yin Sun, Sun-Yang Tay. 3053-3057 [doi]
- A Dual-Mode Seamless Transition Low-Dropout Regulator with Improved Load Transient Response for RF Energy-Harvesting ApplicationTzu-Yu Tzeng, Sheng-Cheng Lee, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai. 3058-3062 [doi]
- A Versatile and Accurate Vector-Based Method for Modeling and Analyzing Planar Air-Core InductorsSun-Yang Tay, Victor Adrian, Joseph Chang, Jinhen Lee, Bah-Hwee Gwee. 3063-3067 [doi]
- Two-Stage Energy Efficiency Optimization of Switched-Capacitor Converters for IoT SystemsYuanchen Qu, Lu Wang, Qingfu Xu, Pingqiang Zhou. 3068-3072 [doi]
- Wide-Band Inductorless and Capacitorless LNTA Based on Cascode InvertersCong Tao, Liangbo Lei, Zhiliang Hong, Yumei Huang. 3073-3076 [doi]
- An X-band Phase Detector Based on Quadrature Modulation in 28-nm CMOSChengqiang Zhao, Wuyu Fan, Jingjing Lv, Li Du, Yuan Du. 3077-3081 [doi]
- A Dual-Band Vector-Sum Phase Shifter for 28-GHz and 60-GHz Phased Arrays in 65-nm CMOSHaibo Yang, Yiming Yu, Chenxi Zhao, Huihua Liu, Yunqiu Wu, Kai Kang. 3082-3086 [doi]
- A Threshold Voltage Tracking Circuit providing upto 20dB improvement in IIP2 of Single-ended Passive MixersKasyap V. Karun, Gajendranath Chowdary. 3087-3091 [doi]
- Design of 2.87 GHz Frequency Synthesizer with Programmable Sweep for Diamond Color Defect based CMOS Quantum Sensing ApplicationsAdithya Sunil Edakkadan, Kasturi Saha, Maryam Shojaei Baghini, Abhishek Srivastava 0002. 3092-3096 [doi]
- An Automated Compiler for RISC-V Based DNN AcceleratorZheng Wu, Wuzhen Xie, Xiaoling Yi, Haitao Yang, Ruiyao Pu, Xiankui Xiong, Haidong Yao, Chixiao Chen, Jun Tao, Fan Yang. 3097-3101 [doi]
- Algorithm-Hardware Co-Optimization for Cost-Efficient ML-based ISP AcceleratorDongyoung Rim, Hyeokjun Kwon, Youngjoo Lee. 3102-3106 [doi]
- Dynamically Swappable Digit-Serial Multi-Precision Deep Neural Network Accelerator with Early TerminationShen-Fu Hsiao, Hung-Ching Li, Yu-Che Yen, Po-Chang Li. 3107-3110 [doi]
- An Ultra Energy Efficient Streaming-based FPGA Accelerator for Lightweight Neural NetworkShaoyi Chen, Zhiqi Zhou, Yajun Ha. 3111-3114 [doi]
- Approximate In-Memory Computing using Memristive IMPLY Logic and its Application to Image ProcessingSeyed Erfan Fatemieh, Mohammad Reza Reshadinezhad, Nima Taherinejad. 3115-3119 [doi]
- Meta-Interpolation: Time-Arbitrary Frame Interpolation via Dual Meta-LearningShixing Yu, Yiyang Ma, Wenhan Yang, Wei Xiang, Jiaying Liu 0001. 3120-3124 [doi]
- Spatio-temporal Super-resolution Network: Enhance Visual Representations for Video CaptioningQuanhui Cao, Pengjie Tang, Hanli Wang. 3125-3129 [doi]
- Triplet Confidence for Robust Out-of-vocabulary Keyword SpottingChengliang Wang, Yujie Hao, Xing Wu, Chao Liao. 3130-3134 [doi]
- Spatially Scalable Video-Based Point Cloud CompressionShanshan Li, Li Li, Dong Liu, Houqiang Li. 3135-3139 [doi]
- Efficient Search for Efficient ArchitectureLiewen Liao, Yaoming Wang, Hao Li, Wenrui Dai, Chenglin Li, Junni Zou, Hongkai Xiong. 3140-3144 [doi]
- Real-Time Image Inpainting using PatchMatch Based Two-Generator Adversarial Networks with Optimized Edge Loss FunctionLuchang Ding, Jing Zhang, Chang Wu, Chang Cai, Gengsheng Chen. 3145-3149 [doi]
- Attention-Based Auto-Encoder Framework for Abnormal Driving DetectionJing Liu, Yang Liu, Donglai Wei 0002, Wei Ni, Xinhua Zeng, Liang Song. 3150-3154 [doi]
- Fourier domain adaptation for nighttime pedestrian detection using Faster R-CNNPeggy Joy Lu, Jen-Hui Chuang. 3155-3159 [doi]
- Pay Attention via Binarization: Enhancing Explainability of Neural Networks via Binarization of ActivationYuma Tashiro, Hiromitsu Awano. 3160-3164 [doi]
- A Full-Reference Image Quality Assessment Method with Saliency and Error Feature FusionDa Ai, Yunhong Liu, Yurong Yang, Mingyue Lu, Ying Liu, Nam Ling. 3165-3169 [doi]
- A No-Reference Deep Learning Quality Assessment Method for Super-Resolution Images Based on Frequency MapsZicheng Zhang, Wei Sun 0029, Xiongkuo Min, Wenhan Zhu, Tao Wang 0078, Wei Lu 0021, Guangtao Zhai. 3170-3174 [doi]
- Recurrent Deformable Fusion for Compressed Video Artifact ReductionLiuhan Peng, Askar Hamdulla, Mao Ye 0001, Shuai Li, Hongwei Guo. 3175-3179 [doi]
- Luminance-Guided Chrominance Image Enhancement for HEVC Intra CodingHewei Liu, Renwei Yang, Shuyuan Zhu, Xing Wen, Bing Zeng. 3180-3184 [doi]
- Improving Optical Flow Inference for Video ColorizationRulin Huang, Shaohui Li, Wenrui Dai, Chenglin Li, Junni Zou, Hongkai Xiong. 3185-3189 [doi]
- Wavelet-Based Learned Scalable Video CodingCunhui Dong, Haichuan Ma, Dong Liu, John W. Woods. 3190-3194 [doi]
- A QP-adaptive Mechanism for CNN-based Filter in Video CodingChao Liu, Heming Sun, Jiro Katto, Xiaoyang Zeng, Yibo Fan. 3195-3199 [doi]
- Deep Video Compression for P-frame in Sub-sampled Color SpacesRongqun Lin, Pingping Zhang, Meng Wang, Shiqi Wang 0001, Sam Kwong. 3200-3204 [doi]
- Joint Luma and Chroma Multi-Scale CNN In-loop Filter for Versatile Video CodingYanchen Zhao, Kai Lin, Shanshe Wang, Siwei Ma. 3205-3209 [doi]
- Deep Learning-Assisted Video Compression FrameworkHengyu Man, Chang Yu, Feng Xing, Yang Cheng, Bo Zheng, Xiaopeng Fan. 3210-3214 [doi]
- A Second-Order VCO-Based ΔΣ ADC with Fully Digital Feedback SummationChaoyang Xing, Yi Zhong, Jin Shao, Pengpeng Chen, Lu Jie, Nan Sun. 3215-3218 [doi]
- Systematic Design For Multistage Feed-forward Op-amp For High-Speed Continuous-Time ∑Δ ADCsMarco A. Saif, Alhassan Sayed, Michel Vasilevski, Mohamed Dessouky, Hassan Aboushady. 3219-3223 [doi]
- A Charge-Redistribution Multi-Bit Stochastic-Resonance ADC Enhancing SNDR for Weak Input SignalRyoya Shibata, Zule Xu, Yasushi Hotta, Hitoshi Tabata, Tetsuya Iizuka. 3224-3228 [doi]
- A Mismatch Compensation Scheme for Cyclic-pipelined ADC via Dynamic Element Matching TechniqueYihao Yang, Yanjin Lyu, Yuanqi Hu. 3229-3233 [doi]
- Mixed-Signal Integrated Circuit for Direct Raised-Cosine Filter Waveform Synthesis of Digital Signals up to 24 GS/s in 22 nm FD-SOI CMOS TechnologyDaniel Widmann, Raphael Nägele, Markus Grözing, Manfred Berroth. 3234-3238 [doi]
- Scalable Synthetic Circuit Generation using Geometry Embedding for CAD Tool AssessmentBing-Yu Li, Rung-Bing Lin. 3239-3243 [doi]
- SAT-based Scheduling Algorithm for High-level Synthesis Considering Resource SharingHao Jiang, Fan Yang, Changhao Yan, Xuan Zeng 0001. 3244-3248 [doi]
- Machine Learning Framework Using Complex Network Features to Predict Wire-lengthTingyuan Nie, Zuyuan Zhu, Qi Kong, Lijian Zhou, Zhenhao Wang. 3249-3254 [doi]
- Topology-Based Exact Synthesis for Majority Inverter GraphXianliang Ge, Shinji Kimura. 3255-3259 [doi]
- Three-Dimensional Flexible-Module Placement for Stacked Three-Dimensional IntegrationTomohiro Noguchi, Omran Hindawi, Mineo Kaneko. 3260-3264 [doi]
- A Skeleton-based Dynamic Hand Gesture Recognition for Home Appliance Control SystemTsung-Han Tsai 0001, Yi-Jhen Luo, Wei-Chung Wan. 3265-3268 [doi]
- HPSW-CIM: A Novel ReRAM-Based Computing-in-Memory Architecture with Constant-Term Circuit for Full Parallel Hybrid-Precision-Signed-Weight MAC OperationZihao Xuan, Yue Zhang, Yuan Li, Chang Liu, Yi Kang. 3274-3278 [doi]
- C-RRAM: A Fully Input Parallel Charge-Domain RRAM-based Computing-in-Memory Design with High Tolerance for RRAM VariationsYifan He, Yuxuan Huang, Jinshan Yue, Wenyu Sun, Lu Zhang, Yongpan Liu. 3279-3283 [doi]
- Memristive CNN for Wafer defect detectionR. Chithra, A. R. Aswani, A. P. James. 3284-3288 [doi]
- Domain Specific ReRAM Computation-in-Memory Design Considering Bit Precision and Memory Errors for Simulated AnnealingNaoko Misawa, Kenta Taoka, Chihiro Matsui, Ken Takeuchi. 3289-3293 [doi]
- Aging Aware Retraining for Memristor-based Neuromorphic ComputingWenwen Ye, Grace Li Zhang, Bing Li 0005, Ulf Schlichtmann, Cheng Zhuo, Xunzhao Yin. 3294-3298 [doi]
- TAFNet: A Three-Stream Adaptive Fusion Network for RGB-T Crowd CountingHaihan Tang, Yi Wang, Lap-Pui Chau. 3299-3303 [doi]
- Combining CNN and MLP for Plant Pathology Recognition in Natural ScenesHong Wang, Denghao Pang, Jian Ma. 3304-3308 [doi]
- A Scale-Reductive Pooling with Majority-Take-All for Salient Object DetectionChin-Han Shen, Yang-Jie Chen, Hsu-Feng Hsiao. 3309-3313 [doi]
- GENHOP: An Image Generation Method Based on Successive Subspace LearningXuejing Lei, Wei Wang, C. C. Jay Kuo. 3314-3318 [doi]
- Analog-memory-based 14nm Hardware Accelerator for Dense Deep Neural Networks including TransformersAtsuya Okazaki, Pritish Narayanan, Stefano Ambrogio, Kohji Hosokawa, Hsinyu Tsai, Akiyo Nomura, Takeo Yasuda, Charles Mackin, Alexander M. Friz, Masatoshi Ishii, Yasuteru Kohda, Katie Spoon, An Chen, Andrea Fasoli, Malte J. Rasch, Geoffrey W. Burr. 3319-3323 [doi]
- High-Precision Nano-Amp Current Sensor and Obfuscation based Analog Trojan Detection CircuitMostafa Abedi, Tiancheng Yang, Yunsi Fei, Aatmesh Shrivastava. 3324-3328 [doi]
- Ali266: an optimized VVC Software Encoder Implementation Towards Real-time ApplicationsJianhua Chen, Yan Ye, Liangwei Yu, Xinchao Dong, Shuqing Fang, Shengyang Xu, Zhiwei Huang, Ru-Ling Liao, Jie Liu, Rongbing Yang. 3329-3333 [doi]
- An Efficient FPGA-based Accelerator for Deep ForestMingyu Zhu, Jiapeng Luo, Wendong Mao, Zhongfeng Wang. 3334-3338 [doi]
- A 120-160 GHz 28 mW LNA in 70-nm GaAs mHEMT TechnologyChe-Hao Li, Chien-Nan Kuo. 3339-3342 [doi]
- Design of a dB-Linear 21.5-to-36 GHz 6-bit RF-VGA with Accurate Gain Control in 0.13-μm SiGe BiCMOS TechnologyYu Wang, Fanyi Meng, Kaixue Ma, Min Lu. 3343-3346 [doi]
- A 71-86 GHz Cascaded Harmonic Enhanced Tripler with -69 dBc Fundamental and -66 dBc Second Harmonic SuppressionZhaoqi Chen, Chunqi Shi, Yuri Lu, Runxi Zhang, Hao Deng 0003, Jinghong Chen. 3347-3350 [doi]
- A Highly Linearized Ka-band Heterodyne Receiver using a Folded Class-AB Inductive Peaking Mixer and Magnetic-Self-Cancellation-Transformer-Based IF AmplifiersQixiu Wu, Wei Deng 0001, Haikun Jia, Rui Wu 0001, Fuyuan Zhao, Baoyong Chi. 3351-3354 [doi]
- A 23.4-27.6 GHz "Zig-Zag" VCO with Continuous Frequency Switching for FMCW RadarsYuri Lu, Chunqi Shi, Jinge Li, Runxi Zhang, Hao Deng 0003, Jinghong Chen. 3355-3358 [doi]
- HEAM: High-Efficiency Approximate Multiplier optimization for Deep Neural NetworksSu Zheng, Zhen Li, Yao Lu, Jingbo Gao, Jide Zhang, Lingli Wang. 3359-3363 [doi]
- Novel Stochastic Computing using Amplitude and Frequency Pulse EncodingYuhao Chen, Hongge Li. 3364-3368 [doi]
- An Area-Efficient and High Throughput Hardware Implementation of Exponent FunctionMuhammad Awais Hussain, Shung-Wei Lin, Tsung-Han Tsai 0001. 3369-3372 [doi]
- Novel FDSOI-based Dynamic XNOR Logic for Ultra-Dense Highly-Efficient ComputingShubham Kumar, Swetaki Chatterjee, Chetan Kumar Dabhi, Hussam Amrouch, Yogesh Singh Chauhan. 3373-3377 [doi]
- A 19-bit Range and 4.5-ps Resolution Fully-Synthesizable Time-to-Digital Converter with Quad-Edge Offset CancellationHeon Hwa Cheong, Suhwan Kim. 3378-3382 [doi]
- SNNIM: A 10T-SRAM based Spiking-Neural-Network-In-Memory architecture with capacitance computationBo Wang, Chen Xue, Han Liu, Xiang Li, Anran Yin, Zhongyuan Feng, Yuyao Kong, Tianzhu Xiong, Haiming Hsu, Yongliang Zhou, An Guo, Yufei Wang, Jun Yang, Xin Si. 3383-3387 [doi]
- A Lightweight Spiking GAN Model for Memristor-centric Silicon Circuit with On-chip Reinforcement Adversarial LearningMin Tian, Jing Lu, Haoran Gao, Haibing Wang, Jianyi Yu, Cong Shi 0003. 3388-3392 [doi]
- A 3-8bit Reconfigurable Hybrid ADC Architecture with Successive-approximation and Single-slope Stages for Computing in MemoryWuyu Fan, Yuandong Li, Li Du, Likai Li, Yuan Du. 3393-3397 [doi]
- Deep Neural Network Interlayer Feature Map Compression Based on Least-Squares FittingChenjia Xie, Zhuang Shao, Hang Xu, Xiaoliang Chen, Li Du, Yuan Du, Zhongfeng Wang. 3398-3402 [doi]
- Versatile FeFET Voltage-sensing Analog CiM for Fast & Small-area Hyperdimensional ComputingChihiro Matsui, Eitaro Kobayashi, Kasidit Toprasertpong, Shinichi Takagi, Ken Takeuchi. 3403-3407 [doi]
- An 8T/Cell FeFET-Based Nonvolatile SRAM with Improved Density and Sub-fJ Backup and Restore EnergyJianfeng Wang, Nuo Xiu, Juejian Wu, Yiming Chen, Yanan Sun 0003, Huazhong Yang, Vijaykrishnan Narayanan, Sumitha George, Xueqing Li. 3408-3412 [doi]
- Cryo-CMOS Model-Enabled 8-Bit Current Steering DAC Design for Quantum ComputingYongqi Hu, Zewei Wang, Renhe Chen, Zhidong Tang, Ao Guo, Chengwei Cao, Weican Wu, Shoumian Chen, Yuhang Zhao, Liujiang Yu, Ganbing Shang, Hao Xu, ShaoJian Hu, Xufeng Kou. 3413-3417 [doi]
- Atomic-sized Pd Tunneling Junction Memory with 25ns Switching Capacity and Enhanced EnduranceZhongzheng Tian, Dacheng Yu, Zhongyang Ren, Jiaojiao Tian, Liming Ren, Yunyi Fu. 3418-3422 [doi]
- Significance of Organic Ferroelectric in Harnessing Transient Negative Capacitance Effect at Low Voltage Over Oxide FerroelectricKhoirom Johnson Singh, Lomash Chandra Acharya, Anand Bulusu, Sudeb Dasgupta. 3423-3427 [doi]
- On The Design Of Rationalised Bi-orthogonal Wavelet Using Reversible LogicSithara Raveendran, Pranose J. Edavoor, Y. B. Nithin Kumar, M. H. Vasantha. 3428-3432 [doi]
- Interleaved Hybrid Domain Learning for Super-Resolution MRIVazim Ibrahim, Sumit Datta, Alex Pappachen James, Joseph Suresh Paul. 3433-3437 [doi]
- Speed Imagery EEG Classification with Spatial-temporal Feature Attention Deep Neural NetworksXiaoqian Hao, Biao Sun. 3438-3442 [doi]
- A CMOS Axon-sharing Neuron Array with Background CalibrationXiangao Qi, Jian Zhao, Guoxing Wang, Kea-Tiong Tang, Yongfu Li 0002. 3443-3447 [doi]
- Toward Ultra-large Scale Neural Spike Sorting with Distributed Sorting Channels and Unsupervised TrainingJunhong Sun, Tianhao Li, Tongtong Guo, Yongfu Li, Changyun Fu, Yan Liu. 3448-3452 [doi]
- Analog Image Denoising with an Adaptive Memristive Crossbar NetworkOlga Krestinskaya, Khaled N. Salama, A. P. James. 3453-3457 [doi]
- Unstructured Weight Pruning in Variability-Aware Memristive Crossbar Neural NetworksA. R. Aswani, R. Chithra, A. P. James. 3458-3462 [doi]
- Wave Cellular Automata for Computing ApplicationsTheodoros Panagiotis Chatzinikolaou, Iosif-Angelos Fyrigos, Vasileios G. Ntinas, Stavros Kitsios, Panagiotis Bousoulas, Michail-Antisthenis I. Tsompanas, Dimitris Tsoukalas, Andrew Adamatzky, Georgios Ch. Sirakoulis. 3463-3467 [doi]
- An Event-driven Spiking Neural Network Accelerator with On-chip Sparse WeightYisong Kuang, Xiaoxin Cui, Chenglong Zou, Yi Zhong, Zhenhui Dai, Zilin Wang, Kefei Liu 0002, Dunshan Yu, Yuan Wang. 3468-3472 [doi]
- A True Time Delay Element using Cascaded Variable Bandwidth All Pass FiltersMayur S. Marinaik, Ganga K. Maheshwarappa, Naveen Kadayinti. 3473-3477 [doi]
- OTA Based Fractional-Order Oscillator With Controlled Phase DifferenceGarima Varshney, Neeta Pandey, Rajeshwari Pandey. 3478-3482 [doi]
- A PVT-Invariant Front-End Ring Amplifier using Self-Stabilization Technique for SAR ADCChi-Wei Chen, Chien-Yu Su, Hsin-Shu Chen. 3483-3487 [doi]
- A Novel Low-Leakage ESD Power Clamp Circuit with Adjustable Transient Response TimeZilong Shen, Yize Wang, Xing Zhang, Yuan Wang. 3488-3492 [doi]
- An Integrated 200MHz 4A Pulsed Laser Driver with DLL-Based Time Interpolator for Indirect Time-of-Flight ApplicationsShenglong Zhuo, Yuwei Wang, Tao Xia, Yifan Wu, Lichun Xie, Wei Zheng, Zhihong Lin, Miao Sun, Lei Zhao, Yajie Qin, Rui Bai, Patrick Yin Chiang. 3493-3497 [doi]
- Quantified Satisfiability-based Simultaneous Selection of Multiple Local Approximate Changes under Maximum Error BoundChenfei Lou, Weihua Xiao, Weikang Qian. 3498-3502 [doi]
- Adversarial Sample Generation for Lithography Hotspot DetectionShuyuan Sun, Yiyang Jiang, Fan Yang, Xuan Zeng 0001. 3503-3506 [doi]
- Low Error-Rate Approximate Multiplier Design for DNNs with Hardware-Driven Co-OptimizationYao Lu, Jide Zhang, Su Zheng, Zhen Li, Lingli Wang. 3507-3511 [doi]
- TDPRO: Ultra-low Power ECG Processor with High-Precision Time-Domain Computing EngineLiang Chang, Siqi Yang 0002, Huinan Wang, Jianbo Xiao, Xin Zhao, Shuisheng Lin, Jun Zhou. 3512-3516 [doi]
- Temporal Analysis of Transaction Ego Networks with Different Labels on EthereumBaoying Huang, Jieli Liu, Jiajing Wu, Quanzhong Li 0001, Hao Lin. 3517-3521 [doi]
- Predicting Onset Time of Cascading Failure in Power Systems Using a Neural Network-Based ClassifierJunyuan Fang, Dong Liu 0012, Chi K. Tse. 3522-3526 [doi]
- Evolution of Locality on Ethereum Transaction NetworkDingyuan Lu, Jieli Liu, Shanhe Zhao, Jiajing Wu. 3527-3531 [doi]
- STATE: A Test Structure for Rapid Prediction of Resistive RAM Electrical Parameter VariabilityHassen Aziza, Jérémy Postel-Pellerin, Hussein Bazzi, Mathieu Moreau, Adnan Harb. 3532-3536 [doi]
- A High-Voltage Characterisation Platform For Emerging Resistive Switching TechnologiesJiawei Shen, Andrea Mifsud, Lijie Xie, Abdulaziz Alshaya, Christos Papavassiliou. 3537-3541 [doi]
- Temperature Sensing System With Flexible Electronics Using Oxide TFTsSuyash Shrivastava, Pydi Ganga Bahubalindruni. 3542-3546 [doi]
- Design of Variable Bit-Width Arithmetic Unit Using Single Flux Quantum DeviceIori Ishikawa, Ikki Nagaoka, Ryota Kashima, Koki Ishida, Kosuke Fukumitsu, Keitaro Oka, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Akira Fujimaki, Koji Inoue. 3547-3551 [doi]
- Bionic Robust Memristor-Based Artificial Nociception System for RoboticsGuangyu Feng, Bokyung Kim, Hai Helen Li. 3552-3556 [doi]
- A Nanopower 95.6% Efficiency Voltage Regulator with Adaptive Supply-Switching for Energy Harvesting ApplicationsYiwei Zou, Xinling Yue, Sijun Du. 3557-3561 [doi]
- Optimization of CMOS Voltage reference with Prediction based on Multi-group Hierarchical Collaborative Evolution and GBDTYanhan Zeng, Peidong Lin, Mingrui Lv, Shangzuo Xie, Mingjiang Hou, Jingci Yang, Weijian Chen 0003. 3562-3565 [doi]
- An Input-Output Regulated Adaptive Ramp for Fast Load Transition of PWM Buck ConvertorBingbing He, Haoran Li 0001, Mingyi Chen, Yongfu Li, Yan Liu, Yang Zhao. 3566-3570 [doi]
- LDO Regulator Optimized on Power Efficiency and Load Transient Response with Voltage Damper and Body Loop FeedbackHeebae Kim, Seung Soo Kwak, Yong Sin Kim. 3571-3574 [doi]
- A Single-Inductor Triple-Output Buck-Boost Converter with Output Ripple Control for Wearable DevicesZhi-Yun Hsu, Chi-Wei Liu, Jun-Wan Wu, Wei-Jen Chang, Tsung-Ling Li, Po-Hung Chen. 3575-3578 [doi]