Abstract is missing.
- Receiver echo cancellation with real-time self calibration for passive implanted neuron recordersMaryam Shafiee, Sule Ozev. 1-4 [doi]
- Transcutaneous capacitive wireless power transfer (C-WPT) for biomedical implantsReza Erfani, Fatemeh Marefat, Amir M. Sodagar, Pedram Mohseni. 1-4 [doi]
- A2.1-ppm/°C current-mode CMOS bandgap reference with piecewise curvature compensationRuocheng Wang, Wengao Lu, Yuze Niu, Zhaokai Liu, Meng Zhao, Yacong Zhang, Zhongjian Chen. 1-4 [doi]
- A pulse-based memristor programming circuitOlufemi Akindele Olumodeji, Massimo Gottardi. 1-4 [doi]
- On linear periodically time varying (LPTV) systems with modulated inputs, and their application to smoothing filtersShanthi Pavan. 1-4 [doi]
- A small area and low power true random number generator using write speed variation of oxidebased RRAM for IoT security applicationJianguo Yang, Yinyin Lin, Yarong Fu, Xiaoyong Xue, B. A. Chen. 1-4 [doi]
- Cell spreading optimization for force-directed global placersXueyan Wang, Yici Cai, Qiang Zhou. 1-4 [doi]
- A 0.2V 2.3pJ/Cycle 28dB output SNR hybrid Markov random field probabilistic-based circuit for noise immunity and energy efficiencyXuwei Jin, Wei Jin, Hao Zhang, Jian-Fei Jiang, Weifeng He. 1-4 [doi]
- A low latency feature extraction accelerator with reduced internal memoryRongdi Sun, Peilin Liu, Jun Wang, Zunquan Zhou. 1-4 [doi]
- A sub-1 V, nanopower, ZTC based zero-VT temperature-compensated current referenceDavid Cordova, Arthur Campos de Oliveira, Pedro Toledo, Hamilton Klimach, Sergio Bampi, Eric E. Fabris. 1-4 [doi]
- Implications of a spontaneously active ground state for computing with brain-inspired circuitsNarayan Srinivasa. 1-4 [doi]
- Adaptive droop control with self-adjusted virtual impedance for three-phase inverter under unbalanced conditionsZelun Lu, Wenxuan Li, Zhen Li, Xi Chen, Herbert H. C. Lu, Ning Dong, Xiangdong Liu. 1-4 [doi]
- Revisit sequential logic obfuscation: Attacks and defensesTravis Meade, Zheng Zhao, Shaojie Zhang, David Z. Pan, Yier Jin. 1-4 [doi]
- A reliable true random number generator based on novel chaotic ring oscillatorYunfan Yang, Song Jia, Yuan Wang, Shaonan Zhang, Chao Liu. 1-4 [doi]
- A 7.9μA 4-bit 4Msps successive approximation phase-domain ADC for GFSK demodulatorShaoquan Gao, Hanjun Jiang, Zhaoyang Weng, Yanshu Guo, Jingjing Dong, Zhihua Wang. 1-4 [doi]
- Control-oriented design guidelines to extend the stability margin of switching convertersKuntal Mandal, Abdullah Abusorrah, Mohammed M. Al-Hindawi, Yusuf Al-Turki, Abdelali El Aroudi, Damian Giaouris, Soumitro Banerjee. 1-4 [doi]
- A 10-bit linearity current-controlled ring oscillator with rolling regulation for smart sensingMichele Dei, Jordi Sacristán, Eloi Marigó, Mohanraj Soundara, Lluís Terés, Francisco Serra-Graells. 1-4 [doi]
- A compliance current circuit with nanosecond response time for ReRAM characterizationQingjiang Li, Jinling Xing, Zhaolin Sun, Fei Jing, Hui Xu. 1-4 [doi]
- Nonlinear dynamics of memristor oscillators via the flux-charge analysis methodFernando Corinto, Mauro Forti. 1-4 [doi]
- Methodology for automated phase noise minimization in RF circuit interconnect treesDimo Martev, Sven Hampel, Ulf Schlichtmann. 1-4 [doi]
- Dynamic voltage and frequency scaling for neuromorphic many-core systemsSebastian Höppner, Yexin Yan, Bernhard Vogginger, Andreas Dixius, Johannes Partzsch, Felix Neumarker, Stephan Hartmann, Stefan Schiefer, Stefan Scholze, Georg Ellguth, Love Cederstroem, Matthias Eberlein, Christian Mayr, Steve Temple, Luis A. Plana, Jim D. Garside, Simon Davison, David R. Lester, Steve Furber. 1-4 [doi]
- A QCQP design method of the symmetric pulse-shaping filters against receiver timing jitterChia-Yu Yao, Shui-Chin Wang. 1-4 [doi]
- A power-area-efficient impedance sensor design for 10 × 10 microelectrode array sensingXinyuan Ge, Tsz Ngai Lin, Jie Yuan. 1-4 [doi]
- Study of wind profile prediction with a combination of signal processing and computational fluid dynamicsMengdi Jiang, Wei Liu, Yi Li. 1-4 [doi]
- A class-E RF power amplifier with a novel matching network for high-efficiency dynamic load modulationQianqian Liu, Victor Adrian, Bah-Hwee Gwee, Joseph S. Chang. 1-4 [doi]
- A wireless system for combined heart optogenetics and electrocardiography recordingLeonard L. Gagnon, Gabriel Gagnon-Turcotte, Aude Popek, Aurelien Chatelier, Mohamed Chahine, Benoit Gosselin. 1-4 [doi]
- Design and optimization of a strong PUF exploiting sneak paths in resistive cross-point arrayRui Liu, Pai-Yu Chen, Shimeng Yu. 1-4 [doi]
- A sub-mW spectrum sensing architecture for portable IEEE 802.22 cognitive radio applicationsKevin Banovic, Tony Chan Carusone. 1-4 [doi]
- A silicon based fdNIRS system with integrated tDCS on chip for non-invasive closed-loop neuro stimulationYun Miao, Valencia Joyner Koomson. 1-4 [doi]
- 0.5 kHz-32 MHz digital fractional-N frequency synthesizer with burst-frequency switchSeung-Hun Shin, Pil-Ho Lee, Jin-Woo Park, Yu-Jeong Hwang, Young-Chan Jang. 1-4 [doi]
- Scalable memory-less architecture for string matching with FPGAsIdeh Sarbishei, Shervin Vakili, J. M. Pierre Langlois, Yvon Savaria. 1-4 [doi]
- Current-mode multi-path excess loop delay compensation for GHz sampling CT ΣΔ ADCsChenming Zhang, Lucien J. Breems, Georgi I. Radulov, Muhammed Bolatkale, Q. Liu, Hans Hegt, Arthur H. M. van Roermund. 1-4 [doi]
- A dual band FMCW radar receiver with integrated active balun and baseband AGC loopMohammed El-Shennawy, Belal Al-Qudsi, Niko Joram, Frank Ellinger. 1-4 [doi]
- A cascode miller compensated three-stage amplifier with local Q-factor control for wide capacitive load applicationsQi Cheng, Weimin Li, Xian Tang, Jianping Guo. 1-4 [doi]
- Efficient implementation of modular multiplication by constants applied to RNS reverse convertersRoberto de Matos, Rogerio Paludo, Nikolay I. Chervyakov, Pavel A. Lyakhov, Héctor Pettenghi. 1-4 [doi]
- Live demonstration: Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systemsAmirreza Yousefzadeh, Miroslaw Jablonski, Taras Iakymchuk, Alejandro Linares-Barranco, Alfredo Rosado Muñoz, Luis A. Plana, Teresa Serrano-Gotarredona, Steve B. Furber, Bernabé Linares-Barranco. 1 [doi]
- Scaled linearized Bregman iterations for fixed point implementationMichael Lunglmayr, Bernhard Hiptmair, Mario Huemer. 1-4 [doi]
- A 16-channel CMOS chopper-stabilized analog front-end acquisition circuits for ECoG detectionCheng-Hsiang Cheng, Zhi-Xin Chen, Chung-Yu Wu. 1-4 [doi]
- Census transform-based static caption detection for frame rate up-conversionGyu Jin Bae, Young-Hwan Kim, Suk-Ju Kang. 1-4 [doi]
- Synchronization in dynamical oscillatory networks with non-uniform coupling distributionsYoko Uwate, Yoshifumi Nishio. 1-4 [doi]
- Closed-loop continuous-time analog filter with almost constant IIP3 over the pass-bandMarcello De Matteis, Antonio A. D'Amico, Fulvio Ciciotti, Andrea Baschirotto. 1-4 [doi]
- A novel approximate computing based security primitive for the Internet of ThingsMingze Gao, Gang Qu. 1-4 [doi]
- A 0.9-V input PWM DCM boost converter with low output ripples and fast load transient response based on a novel square-root voltage mode (SRVM) control approachHao Luo, Liter Siek. 1-4 [doi]
- Single supply CMOS Up level shifter for dual voltage systemJosé C. García, Juan A. Montiel-Nelson, Saeid Nooshabadi. 1-4 [doi]
- Computation of Boolean matrix chain products in 3D ReRAMAlvaro Velasquez, Sumit Kumar Jha 0001. 1-4 [doi]
- FPGA acceleration of hyperspectral image processing for high-speed detection applicationsSimon Vellas, George Lentaris, Konstantinos Maragos, Dimitrios Soudris, Zacharias Kandylakis, Konstantinos Karantzalos. 1-4 [doi]
- CMOS luminescence lifetime sensor for white LED multi-spectral characterizationGuoqing Fu, Sameer Sonkusale. 1-4 [doi]
- A novel ISFET sensor architecture using through-Silicon vias for DNA sequencingWei Xiao, Nicholas Miscourides, Pantelis Georgiou. 1-4 [doi]
- Hybrid polymorphic logic gate using 6 terminal magnetic domain wall motion deviceFarhana Parveen, Shaahin Angizi, Zhezhi He, Deliang Fan. 1-4 [doi]
- A convolutional neural network approach for half-pel interpolation in video codingNing Yan, Dong Liu 0002, Houqiang Li, Feng Wu. 1-4 [doi]
- Optimum scaling of stages in a frequency divider chain for best jitter FoMSumit Kumar, Nagendra Krishnapura. 1-4 [doi]
- High-throughput HEVC intrapicture prediction hardware design targeting UHD 8K videosMarcel Moscarelli Corrêa, Bruno Zatt, Marcelo Schiavon Porto, Luciano Volcan Agostini. 1-4 [doi]
- Power-rail ESD clamp circuit with hybrid-detection enhanced triggering in a 65-nm, 1.2-V CMOS processGuangyi Lu, Yuan Wang, Yize Wang, Xing Zhang. 1-4 [doi]
- A 69-Mbps dual tuning 8PSK/QPSK transmitter using injection locking and RF phase modulationZina Saheb, Ezz El-Masry, Jean-Francois Bousquet. 1-4 [doi]
- Neuromorphic readout integrated circuits and related spike-based image processingDean Scribner, Thomas Petty, Peter Mui. 1-4 [doi]
- Impacts of different shapes of through-silicon-via core on 3D IC performanceAbdul Hamid Bin Yousuf, Nahid M. Hossain, Masud H. Chowdhury. 1-4 [doi]
- Pattern representation and recognition with accelerated analog neuromorphic systemsMihai A. Petrovici, Sebastian Schmitt, Johann Klähn, Robert D. St. Louis, Anna Schroeder, Guillaume Bellec, Johannes Bill, Oliver Breitwieser, Ilja Bytschok, Andreas Grübl, M. Guttler, Andreas Hartel, Stephan Hartmann, Dan Husmann de Oliveira, Kai Husmann, Sebastian Jeltsch, Vitali Karasenko, Mitja Kleider, Christoph Koke, Alexander Kononov, Christian Mauch, Eric Müller, Paul Müller 0002, Johannes Partzsch, Thomas Pfeil, Stefan Schiefer, Stefan Scholze, Anand Subramoney, Vasilis N. Thanasoulis, Bernhard Vogginger, Robert A. Legenstein, W. Maass, René Schüffny, Christian Mayr, Johannes Schemmel, Karlheinz Meier. 1-4 [doi]
- A 0.53mW ultra-low-power 3D face frontalization processor for face recognition with human-level accuracy in wearable devicesSanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, Hoi-Jun Yoo. 1-4 [doi]
- Energy harvesting circuit with input matching in boundary conduction mode for electromagnetic generatorsYudong Xu, Dong Sam Ha, Ming Xu. 1-4 [doi]
- An energy-based attack flow for temporal misalignment coutermeasures on cryptosystemsRodrigo Lellis, Rafael Iankowski Soares, Adão Antônio de Souza Jr.. 1-4 [doi]
- A low-cost approximate 32-point transform architectureHeming Sun, Zhengxue Cheng, Amir Masoud Gharehbaghi, Shinji Kimura, Masahiro Fujita. 1-4 [doi]
- A vibration-powered Bluetooth wireless sensor node with running PFC power conditioningKang Zhao, Yuheng Zhao, Junrui Liang. 1-4 [doi]
- A new 1.8V fierce-gate crystal oscillator based on the constant cell in 28nm CMOS technology for automotive radar applicationsGiuseppe Macera, Patrick Crowley. 1-4 [doi]
- A 13bit 200MS/S pipeline ADC with current-mode MDACsCarlos Briseno-Vidrios, Dadian Zhou, Suraj Prakash, Qiyuan Liu, Alexander Edward, José Silva-Martínez. 1-4 [doi]
- Inductive and ultrasonic wireless power transmission to biomedical implantsAhmed Ibrahim, Miao Meng, Mehdi Kiani. 1-4 [doi]
- Sliding-mode approach for start-up control and voltage regulation of a boost converter driving a constant power loadBlanca A. Martinez-Trevino, Abdelali El Aroudi, Luis Martinez-Salamero. 1-4 [doi]
- A 50 Hz SC notch filter for IoT applicationsHugo Serra, João P. Oliveira, Nuno Paulino. 1-4 [doi]
- A high temperature variable gain amplifier based on GaN HEMT devices for downhole communicationsMohammed Ehteshamuddin, Jebreel M. Salem, Dong Sam Ha. 1-4 [doi]
- Exploring logic architectures suitable for TFETs devicesJuan Núñez, Maria J. Avedillo. 1-4 [doi]
- DFGNet: Mapping dataflow graph onto CGRA by a deep learning approachShouyi Yin, Dajiang Liu, Lifeng Sun, Leibo Liu, Shaojun Wei. 1-4 [doi]
- Power efficient SAR ADC adaptive to input activity for ECG monitoring applicationsSungwon Yim, Yujin Park, Han Yang, Suhwan Kim. 1-4 [doi]
- A low latency and area efficient FFT processor for massive MIMO systemsMojtaba Mahdavi, Ove Edfors, Viktor Öwall, Liang Liu. 1-4 [doi]
- Robustness of Sub-22nm multigate devices against physical variabilityAlexandra L. Zimpeck, Ygor Aguiar, Cristina Meinhardt, Ricardo Reis. 1-4 [doi]
- Dual transduction Gas sensor based on a surface acoustic wave resonatorFeng Gao, Amine Bermak, Chi-Ying Tsui, Farid Boussaïd. 1-4 [doi]
- Two-electrode impedance-sensing cardiac rhythm monitor for charge-aware shock delivery in cardiac arrestReza Pazhouhandeh, Omid Shoaei, Roman Genov. 1-4 [doi]
- Accurate spectral testing of the signals with amplitude driftYuming Zhuang, Degang Chen. 1-4 [doi]
- A 276 nW, area-eficient CMOS subbandgap reference circuitS. Vahid M. Bonehi, Soheil Aghaie, Kai Hussmann, Ralf Wunderlich, Stefan Heinen. 1-4 [doi]
- A new electric encoder position estimator based on the Chinese Remainder Theorem for the CMG performance improvementsGian-Carlo Cardarilli, Luca Di Nunzio, Rocco Fazzolari, L. Gerardi, Marco Re, G. Campolo, D. Cascone. 1-4 [doi]
- Live demonstration: Unipolar symmetrical variable-capacitance generators for energy harvestingAntônio Carlos M. de Queiroz, Luiz Carlos Macedo de Oliveira Filho. 1 [doi]
- Effective write-reduction method for MLC non-volatile memoryMasashi Tawada, Shinji Kimura, Masao Yanagisawa, Nozomu Togawa. 1-4 [doi]
- Multiobjective transshipment point assignment in China express delivery networkZhongyan Fan, Xiaowen Bi, Doujie Li, Wallace K. S. Tang. 1-4 [doi]
- Wireless brain computer interfaces enabling synchronized optogenetics and electrophysiologyGabriel Gagnon-Turcotte, L. L. Gagnon, G. Bilodeau, Benoit Gosselin. 1-4 [doi]
- On the use of compressive sensing (CS) for brain dopamine recording with fast-scan cyclic voltammetry (FSCV)Hossein Zamani, Hamid Bahrami, Paul A. Garris, Pedram Mohseni. 1-4 [doi]
- A four-antenna baseband multipath emulator for millimeter-wave channelsMazen Soliman, Shih-Chang Hung, Jeyanandh Paramesh. 1-4 [doi]
- 32-Channel ultra-low-noise arbitrary signal generation platform for biopotential emulationDorian Haci, Yan Liu 0016, Timothy G. Constandinou. 1-4 [doi]
- Dead time effects in the indirect time-of-flight measurement with SPADsMaik Beer, Olaf Schrey, Bedrich J. Hosticka, Rainer Kokozinski. 1-4 [doi]
- Live demonstration: A wireless headstage enabling combined optogenetics and multichannel electrophysiological recordingGabriel Gagnon-Turcotte, Yoan LeChasseur, Cyril Bories, Younès Messaddeq, Yves De Koninck, Benoit Gosselin. 1 [doi]
- Ziksa: On-chip learning accelerator with memristor crossbars for multilevel neural networksAbdullah M. Zyarah, Nicholas Soures, Lydia Hays, Robin Jacobs-Gedrim, Sapan Agarwal, Matthew J. Marinella, Dhireesha Kudithipudi. 1-4 [doi]
- Reducing power, area, and delay of threshold logic gates considering non-integer weightsSeyed Nima Mozaffari, Spyros Tragoudas, Themistoklis Haniotakis. 1-4 [doi]
- A fully integrated wireless sensor-brain interface system to restore finger sensationXilin Liu, Hongjie Zhu, Milin Zhang, Xiaotie Wu, Andrew G. Richardson, Srihari Y. Sritharan, Dengteng Ge, Yang Shu, Timothy H. Lucas, Jan Van der Spiegel. 1-4 [doi]
- Tightly integrated deep learning and symbolic programming on a single neuromorphic chipBryan P. Dawson, Jamie K. Infantolino, Manuel M. Vindiola, John V. Monaco. 1-4 [doi]
- Cross-layer design and analysis of a low power, high density STT-MRAM for embedded systemsManu Komalan, Sushil Sakhare, Trong Huynh Bao, Siddharth Rao, Woojin Kim, Christian Tenllado, José Ignacio Gómez, Gouri Sankar Kar, Arnaud Furnemont, Francky Catthoor. 1-4 [doi]
- A 10-b statistical ADC employing pipelining and sub-ranging in 32nm CMOSSen Tao, Naveen Verma, Ryan M. Corey, Andrew C. Singer. 1-4 [doi]
- A ±10ppm -40 to 125°C BAW-based frequency reference system for crystal-less wireless sensor nodesDanielle Griffith, Per Torstein Røine, Torjus Kallerud, Brian Goodlin, Zachary Hughes, Ernest Ting-Ta Yen. 1-4 [doi]
- Live demonstration: A stimulation platform for optogenetic and bionic vision restorationFrancesco Galluppi, Guillaume Chenegros, Didier Pruneau, Gilles Cordurié, Charlie Galle, Nicolas Oddo, Xavier Lagorce, Christoph Posch, Joël Chavas, Ryad Benosman. 1 [doi]
- EMI common-mode (CM) noise suppression from self-calibration of high-speed SST driver using on-chip process monitoring circuitKhawaja Qasim Maqbool, Duona Luo, Guang Zhu, Xingyun Luo, Huichun Yu, C. Patrick Yue. 1-4 [doi]
- DPA-resistant QDI dual-rail AES S-Box based on power-balanced weak-conditioned half-bufferJames Lim, Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee. 1-4 [doi]
- Second-order analog filter sections with independently tunable center frequency and bandwidthAntonio Petraglia, Mariane R. Petraglia, Manoel C. A. Perez. 1-4 [doi]
- A multi-measurements RO-TDC implemented in a Xilinx field programmable gate arraySafa Berrima, Yves Blaquière, Yvon Savaria. 1-4 [doi]
- Integration of energy-recycling logic and wireless power transfer for ultra-low-power implantablesHsin-Tzu Lin, Yi-Chung Wu, Ping-Hsuan Hsieh, Chia-Hsiang Yang. 1-4 [doi]
- Layout decomposition for hybrid E-beam and DSA double patterning lithographyYunfeng Yang, Fan Yang 0001, Wai-Shing Luk, Changhao Yan, Xuan Zeng 0001, Xiangdong Hu. 1-4 [doi]
- Coefficient extraction for MPM using LSE, ORLS and SLS applied to RF-PA modelingJosé-Cruz Nuñez Pérez, Edgar Allende-Chavez, José Ricardo Cardenas-Valdez, Esteban Tlelo-Cuautle. 1-4 [doi]
- A new write-contention based dual-port SRAM PUF with multiple response bits per cellChao Qun Liu, Yue Zheng, Chip-Hong Chang. 1-4 [doi]
- Patch-based salient region detection using statistical modeling in the non-subsampled contourlet domainM. Rezaie Abkenar, Hamidreza Sadreazami, M. Omair Ahmad. 1-4 [doi]
- A Cholesky decomposition based massive MIMO uplink detector with adaptive interpolationRakesh Gangarajaiah, Hemanth Prabhu, Ove Edfors, Liang Liu. 1-4 [doi]
- Towards signal processing assisted hardware for continuous in-band electrode impedance monitoring (Invited paper)Siddharth Kohli, Alexander J. Casson. 1-4 [doi]
- Analysis of stochastic logic circuits in unipolar, bipolar and hybrid formatsKeshab K. Parhi. 1-4 [doi]
- A 0.4V 4.8μW 16MHz CMOS crystal oscillator achieving 74-fold startup-time reduction using momentary detuningKa-Meng Lei, Pui-In Mak, Rui Paulo Martins. 1-4 [doi]
- An aided information to characterize ECG signals as normal or abnormalKrupa Suresh Bhavsar, Hen-Geul Yeh, Perla Ayala. 1-4 [doi]
- Design of orthogonal filterbanks with rational coefficients using Grobner basesNhu Y. Le, Zhiping Lin, David B. H. Tay, Li Xu, Jiuwen Cao. 1-4 [doi]
- Multichannel color image watermark detection utilizing vector-based hidden Markov modelMarzieh Amini, Hamidreza Sadreazami, M. Omair Ahmad, M. N. S. Swamy. 1-4 [doi]
- Live demonstration: A highly sensitive and quantitative fluorescence sensing platform, for disease diagnosisUwadiae Obahiagbon, Joseph T. Smith, Hany Arafa, Dixie E. Kullman, Jennifer Blain Christen. 1 [doi]
- Publish-subscribe programming for a NoC-based multiprocessor system-on-chipJean Carlo Hamerski, Gean Abich, Ricardo Reis, Luciano Ost, Alexandre M. Amory. 1-4 [doi]
- Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systemsAmirreza Yousefzadeh, Miroslaw Jablonski, Taras Iakymchuk, Alejandro Linares-Barranco, Alfredo Rosado Muñoz, Luis A. Plana, Teresa Serrano-Gotarredona, Stephen B. Furber, Bernabé Linares-Barranco. 1-4 [doi]
- Optimal resource allocation with node and link capacity constraints in complex networksRui Li, Yongxiang Xia, Chi K. Tse. 1-4 [doi]
- Dynamic gene regulatory network analysis using Saccharomyces cerevisiae large-scale time-course microarray dataL. Zhang, H. C. Wu, J. Q. Lin, S. C. Chan. 1-4 [doi]
- Current mirror array: A novel lightweight strong PUF topology with enhanced reliabilityZheng Wang, Yi Chen, Aakash Patil, Chip-Hong Chang, Arindam Basu. 1-4 [doi]
- Powering smart wearable systems with flexible solar energy harvestingPetar Jokic, Michele Magno. 1-4 [doi]
- A noise-power-area optimized novel programmable gain and bandwidth instrumentation amplifier for biomedical applicationsDevarshi Mrinal Das, Abhishek Srivastava, Aman Gupta, Kashyap Barot, Maryam Shojaei Baghini. 1-4 [doi]
- Distributed video codec with spatiotemporal side informationYueh-Ying Lee, Pin-Hung Kuo, Chia-han Lee, Yen-Kuang Chen, Shao-Yi Chien. 1-4 [doi]
- Test point insertion for RSFQ circuitsGleb Krylov, Eby G. Friedman. 1-4 [doi]
- RM3 based logic synthesis (Special session paper)Mathias Soeken, Pierre-Emmanuel Gaillardon, Giovanni De Micheli. 1-4 [doi]
- Least-squares estimation of the common acoustical poles in room acoustics and head related transfer functionsSahar Hashemgeloogerdi, Mark F. Bocko. 1-4 [doi]
- A fast FPGA-based deep convolutional neural network using pseudo parallel memoriesMuluken Hailesellasie, Syed Rafay Hasan. 1-4 [doi]
- A survey of path search algorithms for VLSI detailed routingStephano Machado Moreira Goncalves, Leomar S. da Rosa Jr., Felipe de Souza Marques. 1-4 [doi]
- Transient response enhancement of RF MEMS tuners using digital signal processingMohammad Abu Khater, Mahmoud Abdelfattah, Yu-Chiao Wu, Wesley Allen, Dimitrios Peroulis. 1-4 [doi]
- Reminiscence: 60 Years of teaching within 84 years of lifeRobert W. Newcomb. 1 [doi]
- Electronic skin and electrocutaneous stimulation to restore the sense of touch in hand prostheticsLucia Seminara, Marta Franceschi, Luigi Pinna, Ali Ibrahim, Maurizio Valle, Strahinja Dosen, Dario Farina. 1-4 [doi]
- Cross-domain shoe retrieval using a three-level deep feature representationHuijing Zhan, Boxin Shi, Alex C. Kot. 1-4 [doi]
- A novel wavelet-based analog-to-digital converterIsadora F. Martins, José Edil G. de Medeiros, Jose A. A. de Andrade, Sandro A. P. Haddad. 1-4 [doi]
- A compact and low power bandpass amplifier for low bandwidth signal applications in 65-nm CMOSFereidoon Hashemi Noshahr, Mohamad Sawan. 1-4 [doi]
- Constrained Kalman filter for improving Kinect based measurementsSoumya Ranjan Tripathy, Kingshuk Chakravarty, Aniruddha Sinha, Debatri Chatterjee, Sanjoy Kumar Saha. 1-4 [doi]
- A higher order transform domain filter exploiting non-local spatial correlation for video codingQing Zhang, Lu Yu. 1-4 [doi]
- Thermal noise canceling pipelined ADCChithira Ravi, Diego James, Vineeth Sarma, Bibhu Datta Sahoo, Amol Inamdar. 1-4 [doi]
- Temperature compensation of floating-gate transistors in field-programmable analog arraysAlex Dilello, Steven Andryzcik, Brandon M. Kelly, Brandon Rumberg, David W. Graham. 1-4 [doi]
- A 0.6V 50-to-145MHz PVT tolerant digital PLL with DCO-dedicated ΔΣ LDO and temperature compensation circuits in 65nm CMOSYudong Zhang, Xiaofeng Liu, Woogeun Rhee, Hanjun Jiang, Zhihua Wang. 1-4 [doi]
- Design of a digital IP for 3D-IC die-to-die clock synchronizationMehdi Sadi, Sukeshwar Kannan, Luke England, Mark Tehranipoor. 1-4 [doi]
- An adaptable prosthetic socket: Regulating independent air bladders through closed-loop controlDaniel Candrea, Avinash Sharma, Luke Osborn, Yikun Gu, Nitish V. Thakor. 1-4 [doi]
- Towards a smartphone-aided electronic ELISA for real-time electrochemical monitoringNikolaos G. Pechlivanidis, Konstantinos I. Papadimitriou, Daniel Evans, Nikolaos Vasilakis, Themistoklis Prodromakis. 1-4 [doi]
- A non-invasive material sensing system and its integrated interface circuitsYang-Jing Huang, Heng-Ching Wu, Po-Shen Chen, Hsu-Tao Shen, Sheng-Yu Peng, Chii-Wann Lin. 1-4 [doi]
- Logarithmic number system addition-subtraction using fractional normalizationGiorgos Tsiaras, Vassilis Paliouras. 1-4 [doi]
- A wide tuning-range ADFLL for mW-SoCs with dithering-enhanced accuracy in 65 nm CMOSDavid E. Bellasi, Philipp Schconle, Qiuting Huang, Luca Benini. 1-4 [doi]
- Motion artifact reduction from PPG signals during intense exercise using filtered X-LMSKhawaja Taimoor Tanweer, Syed Rafay Hasan, Awais Mehmood Kamboh. 1-4 [doi]
- Using dynamic dependence analysis to improve the quality of high-level synthesis designsRafael Garibotti, Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks. 1-4 [doi]
- A high-sensitivity CMOS biophotometry sensor with embedded continuous-time ΣΔ modulationMehdi Noormohammadi Khiarak, Sylvain Martel, Yves De Koninck, Benoit Gosselin. 1-4 [doi]
- 130nm Low power asynchronous AES coreNada El-meligy, Moustafa Amin, Eslam Yahya, Yehea Ismail. 1-4 [doi]
- Live demonstration: A frequency-based system for wireless electrical stimulation of iEAPsYi Huang, Daniel Browe, Joseph Freeman, Laleh Najafizadeh. 1 [doi]
- On secure communications without eavesdropper channel statePhillip A. Regalia. 1-4 [doi]
- Live demonstration: A 768 × 640 pixels 200Meps dynamic vision sensorMenghan Guo, Jing Huang, Shoushun Chen. 1 [doi]
- A placement management circuit for efficient realtime hardware reuse on FPGAs targeting reliable autonomous systemsGodwin Enemali, Adewale Adetomi, Tughrul Arslan. 1-4 [doi]
- Switch-mode gyrator-based emulated inductor enabling self-tunability in WPT receiversMohamed Saad, Elisenda Bou-Balust, Eduard Alarcón. 1-4 [doi]
- On-chip high-voltage SPAD bias generation using a dual-mode, closed-loop charge pumpBoyu Shen, Soumya Bose, Matthew L. Johnston. 1-4 [doi]
- Power efficient AES core for IoT constrained devices implemented in 130nm CMOSShady O. Agwa, Eslam Yahya, Yehea Ismail. 1-4 [doi]
- Design of clock generation circuitry for high-speed subranging time-interleaved ADCsSeyed Alireza Zahrai, Nicolas Le Dortz, Marvin Onabajo. 1-4 [doi]
- Dark silicon-power-thermal aware runtime mapping and configuration in heterogeneous many-core NoCMd Farhadur Reza, Dan Zhao, Magdy Bayoumi. 1-4 [doi]
- Designing CT bandpass ΣΔ modulators with arbitrary STF shapesJohannes Wagner, Jiazuo Chi, Maurits Ortmanns. 1-4 [doi]
- A low power read-out circuit with frequency accuracy of 0.2% for capacitive and resistive sensorsQi Peng, Kun Wang, Xuelian Liu, Weifeng Liu, Xiaoming Li, Yiqi Zhuang. 1-4 [doi]
- Live demonstration: Real-time, dynamic visual saliency computation in a VR environment seeing through the eyes of a mobile robotJamal Lottier Molin, Christopher Simmons, Garrett Nixon, Ralph Etienne-Cummings. 1 [doi]
- A highly linear OTA-free VCO-based 1-1 MASH ΔΣ ADCHamidreza Maghami, Pedram Payandehnia, Hossein Mirzaie, Kartikeya Mayaram, Ramin Zanbaghi, Terri S. Fiez. 1-4 [doi]
- A 0.13 μm CMOS fully integrated 0.1 ∼ 12 GHz frequency synthesizer for avionic SDR applicationsZakaria El Alaoui Ismaili, Wessam Ajib, François Gagnon, Frederic Nabki. 1-4 [doi]
- A novel clock-pulse-width calibration technique for charge redistribution DACsHugo Cruz, Hong-Yi Huang, Ching-Hsing Luo, Lih-Yih Chiou, Shuenn-Yuh Lee. 1-4 [doi]
- Towards closing the energy gap between HOG and CNN features for embedded vision (Invited paper)Amr Suleiman, Yu-Hsin Chen, Joel S. Emer, Vivienne Sze. 1-4 [doi]
- In-vivo tests of an inductively powered miniaturized neural stimulatorAdam Khalifa, Yasha Karimi, Qihong Wang, Elliot Greenwald, Sherry Chiu, Milutin Stanacevic, Nitish V. Thakor, Ralph Etienne-Cummings. 1-4 [doi]
- Using SoC FPAA and integrated simulator for implementation of circuits and systems in educationAishwarya Natarajan, Jennifer Hasler. 1-4 [doi]
- Technology trends and commercialization of high-density microelectrode arrays for advanced in-vitro electrophysiologyUrs Frey, Marie Engelene J. Obien, Jan Mueller, Andreas Hierlemann. 1 [doi]
- Asychnronous sampling based hybrid equalizerNamik Kocaman, Michael M. Green. 1-4 [doi]
- A design-oriented approach for modeling integrators non-idealities in discrete-time sigma-delta modulatorsA. Baltolu 0001, Jean-Baptiste Begueret, Dominique Dallet, A. Baltolu 0002, F. Chalet. 1-4 [doi]
- A color frame reproduction technique for IoT-based video surveillance applicationRashedul Hasan, Shahed K. Mohammed, Alimul Haque Khan, Khan A. Wahid. 1-4 [doi]
- Symmetric split-row LDPC decodersMohammad Shahrad, Mahdi Shabany. 1-4 [doi]
- Efficient data structures for density estimation for large high-dimensional dataAref Majdara, Saeid Nooshabadi. 1-4 [doi]
- 20 Years of research on intellectual property protectionMiodrag Potkonjak, Gang Qu, Farinaz Koushanfar, Chip-Hong Chang. 1-4 [doi]
- Analyte sampling in paper biosensors powered by graphite-based light absorptionMingquan Yuan, Keng-ku Liu, Srikanth Singamaneni, Shantanu Chakrabartty. 1-4 [doi]
- A 0.9V 75MHz 2.8mW 4th-order analog filter in CMOS-bulk 28nm technologyF. Ciciotti, Marcello De Matteis, Andrea Baschirotto. 1-4 [doi]
- A 0.42V high bandwidth synthesizable parallel access smart memory fabric for computer visionPrashant Dubey, Kritika Aditya, Ankur Srivastava, Amit Khanuja, Jamil Kawa, Thu Nguyen. 1-4 [doi]
- Subtractive dithering technique for delta-sigma modulatorZhichao Tan, Roberto Maurino, Robert Adams, Khiem Nguyen. 1-4 [doi]
- An implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable μ-needle array and flexible interposerPo-Tsang Huang, Yu-Chieh Huang, Shang-Lin Wu, Yu-Chen Hu, Ming-Wei Lu, Ting-Wei Sheng, Fung-Kai Chang, Chun-Pin Lin, Nien-Shang Chang, Hung-Lieh Chen, Chi-Shi Chen, Jeng-Ren Duann, Tzai-Wen Chiu, Wei Hwang, Kuan-Neng Chen, Ching-Te Chuang, Jin-Chern Chiou. 1-4 [doi]
- Voltage domain correction technique for timing skew errors in time interleaved ADCsPraveen Kumar Venkatachala, Ahmed ElShater, Yang Xu, Manar El-Chammas, Un-Ku Moon. 1-4 [doi]
- A high-speed level shifting technique and its application in high-voltage, synchronous DC-DC converters with quasi-ZVSA. Salimath, G. Gonano, Edoardo Bonizzoni, D. L. Brambilla, E. Botti, Franco Maloberti. 1-4 [doi]
- Efficient computation of the sensitization probability of a critical path considering process variations and path correlationPavan Kumar Javvaji, Spyros Tragoudas. 1-4 [doi]
- Towards bioinspired close-loop local motor control: A simulated approach supporting neuromorphic implementationsFernando Perez-Peña, Juan Antonio Leñero-Bardallo, Alejandro Linares-Barranco, Elisabetta Chicca. 1-4 [doi]
- An entropy test for determining whether a MUX PUF is linear or nonlinearAnoop Koyily, Chen Zhou, Chris H. Kim, Keshab K. Parhi. 1-4 [doi]
- Characterization of a high dynamic range lab-on-CMOS capacitance sensor arrayBathiya Senevirathna, Sheung Lu, Pamela Abshire. 1-4 [doi]
- PACENet: Energy efficient acceleration for convolutional network on embedded platformAdwaya Kulkarni, Tahmid Abtahi, Colin Shea, Amey M. Kulkarni, Tinoosh Mohsenin. 1-4 [doi]
- An accurate method for fourier synthesis of photoplethysmographic signalsSaman S. Abeysekera. 1-4 [doi]
- On network-based leader-following consensus of linear multi-agent systemsLei Ding, Wei Xing Zheng. 1-4 [doi]
- Live demonstration: MNET: A visually rich memristor crossbar simulatorRadu Berdan, Alexantrou Serb, Christos Papavasilliou, Themis Prodromakis. 1 [doi]
- D-PET: A direct 6 DoF pose estimation and tracking system on graphics processing unitsHung-Yu Tseng, Po-Chen Wu, Yu-sheng Lin, Shao-Yi Chien. 1-4 [doi]
- An efficient and fair scheduling policy for multiprocessor platformsTheodoros Marinakis, Alexandros-Herodotos Haritatos, Konstantinos Nikas, Georgios I. Goumas, Iraklis Anagnostopoulos. 1-4 [doi]
- A 0.5V time-domain instrumentation circuit with clocked and unclocked ΔΣ operationLieuwe B. Leene, Timothy G. Constandinou. 1-4 [doi]
- Real-time clustering algorithm that adapts to dynamic changes in neural recordingsSylmarie Davila-Montero, Deren Y. Barsakcioglu, Andrew Jackson, Timothy G. Constandinou, Andrew J. Mason. 1-4 [doi]
- Live demonstration: Behaving cyborg locusts for standoff chemical sensingDarshit Mehta, Ege Altan, Rishabh Chandak, Baranidharan Raman, Shantanu Chakrabartty. 1 [doi]
- An accurate automatic system for distinguishing neuropathy and healthy electromyography signalsSalim Lahmiri, Mounir Boukadoum. 1-4 [doi]
- A 120 fps 1080p resolution block-based feature extraction architecture implementation for real-time action recognitionChun-Ting Yen, Wan-Yu Chen, Liang-Gee Chen. 1-4 [doi]
- Low-lighting video enhancement using constrained spatial-temporal model for real-time mobile communicationXinwei Gao, Haibo Deng, Yaoyao Guo, ChenChen Gu, Yongfang Shi, Anlin Gao, Licai Guo, Xunan Mao, Jing Lv. 1-4 [doi]
- Modeling and analysis of the effects of PLL phase noise on FMCW radar performanceDebashis Dhar, Paul T. M. van Zeijl, D. Milosevic, Hao Gao, Arthur H. M. van Roermund. 1-4 [doi]
- A high temperature, 12-bit-time-domain sensor interface based on injection locked oscillatorEmna Chabchoub, Franck Badets, Pascal Nouet, Mohamed Masmoudi, Frédérick Mailly. 1-4 [doi]
- Secure dynamic authentication of passive assets and passive IoTs using self-powered timersLiang Zhou, Shantanu Chakrabartty. 1-4 [doi]
- Power-precision scalable latch memoriesDarjn Esposito, Antonio G. M. Strollo, Massimo Alioto. 1-4 [doi]
- Transistor sizing strategy for simultaneous energy-delay optimization in CMOS buffersLongyang Lin, Kien Trinh Quang, Massimo Alioto. 1-4 [doi]
- An FPGA-based aperiodic modulation strategy for EMI suppression in quasi-Z-source DC-DC convertersSaad Ul Hasan, Graham E. Town. 1-4 [doi]
- A hardware-friendly hierarchical HEVC motion estimation algorithm for UHD applicationsLi Hu, Jiawei Gu, Guanghui He, Weifeng He. 1-4 [doi]
- Evaluation of neural network architectures for embedded systemsAlfredo Canziani, Eugenio Culurciello, Adam Paszke. 1-4 [doi]
- A multimodal adaptive wireless control interface for people with upper-body disabilitiesCheikh Latyr Fall, F. Quevillon, Alexandre Campeau-Lecours, S. Latour, M. Blouin, C. Gosselin, Benoit Gosselin. 1-4 [doi]
- A CMOS automatic tuning system to maximize remote powering efficiencyPaul Gosselin, Roberto Puddu, Alexis Carreira, Mehrdad A. Ghanad, Massimo Barbaro, Catherine Dehollain. 1-4 [doi]
- Subsystem size optimization for efficient parallel restoration of power systemsNuwan Ganganath, Chi-Tsun Cheng, Herbert H. C. Iu, Tyrone Fernando. 1-4 [doi]
- Live demonstration: FPGA neural array emulation for real-time, event-based simultaneous dewarping and filtering for aerial vehiclesJamal Lottier Molin, John Rattray, Ralph Etienne-Cummings. 1 [doi]
- A 0.2V 492nW VCO-based OTA with 60kHz UGB and 207 μVrms noiseSarthak Kalani, Alessandro Bertolini, Anna Richelli, Peter R. Kinget. 1-4 [doi]
- Two-pass ℓp-regularized least-squares algorithm for compressive sensingJeevan K. Pant, Sridhar Krishnan. 1-4 [doi]
- Signal processing and climate understandingJacques Szczupak, Leontina Pinto, Gabriel Torres. 1-4 [doi]
- Robust design and design automation for flexible hybrid electronicsTsung-Ching Huang, Leilai Shao, Ting Lei, Raymond G. Beausoleil, Zhenan Bao, Kwang-Ting Cheng. 1-4 [doi]
- Wafer-level adaptive trim seed forecasting based on E-testsConstantinos Xanthopoulos, Ali Ahmadi, Sirish Boddikurapati, Amit Nahar, Bob Orr, Yiorgos Makris. 1-4 [doi]
- A 30μW, 3.3dB NF CMOS LNA for wearable WSN applicationsEhsan Kargaran, Danilo Manstretta, Rinaldo Castello. 1-4 [doi]
- VLSI implementation of LS-SVM training and classification using entropy based subset-selectionAndreas Bytyn, Jannik Springer, Rainer Leupers, Gerd Ascheid. 1-4 [doi]
- Low-power, low-mismatch, highly-dense array of VLSI Mihalas-Niebur neuronsJamal Lottier Molin, Adebayo Eisape, Chetan Singh Thakur, Vigil Varghese, Christian Brandli, Ralph Etienne-Cummings. 1-4 [doi]
- Comparison of three FPGA architectures for embedded multidimensional categorization through Kohonen's self-organizing mapsMiguel Angelo de Abreu de Sousa, Emilio Del Moral Hernandez. 1-4 [doi]
- Snowflake: An efficient hardware accelerator for convolutional neural networksVinayak Gokhale, Aliasger Zaidy, Andre Xian Ming Chang, Eugenio Culurciello. 1-4 [doi]
- A microstimulator with parameter adjustment for bladder dysfunctionYu-Jin Lin, Shuenn-Yuh Lee. 1-4 [doi]
- An 1.1 V 0.1-1.6 GHz tunable-bandwidth elliptic filter with 6 dB linearity improvement by precise zero location control in 40 nm CMOS technology for 5G applicationsChing-Da Wu, Jian-Yu Hsieh, Chun-Han Wu, Yang-Sheng Cheng, Chun-Chang Wu, Shey-Shi Lu. 1-4 [doi]
- Unsupervised video orchestration based on aesthetic featuresAlessandro Neri, Federica Battisti, Federico Colangelo, Marco Carli. 1-4 [doi]
- Transient-enhanced output-capacitorless CMOS LDO regulator for battery-operated systemsJ. Perez-Bailon, A. Marquez, Belén Calvo, Nicolás J. Medrano-Marqués. 1-4 [doi]
- Analytic modeling of static noise margin considering DIBL and body bias effectsFabian Olivera, Antonio Petraglia. 1-4 [doi]
- Roundoff noise minimization for 2-D separable-denominator digital filters using jointly optimal high-order error feedback and realizationTakao Hinamoto, Akimitsu Doi, Wu-Sheng Lu. 1-4 [doi]
- Neural network based ECG anomaly detection on FPGA and trade-off analysisMatthias Wess, Sai Manoj P. D., Axel Jantsch. 1-4 [doi]
- Filtering and enhancement of color images in the block DCT domainJayanta Mukhopadhyay. 1-4 [doi]
- High-speed depth from focus on a programmable vision chip using a focus tunable lensJulien N. P. Martel, Lorenz K. Müller, Stephen J. Carey, Piotr Dudek. 1-4 [doi]
- sWMF: Separable weighted median filter for efficient large-disparity stereo matchingShiqiang Chen, Xuchong Zhang, Hongbin Sun, Nanning Zheng. 1-4 [doi]
- Digital interferer suppression and jitter reduction in continuous-time bandpass ΣΔ modulatorsJiazuo Chi, Johannes Wagner, Jens Anders, Maurits Ortmanns. 1-4 [doi]
- Subpixel rendering without color distortions for diamond-shaped PenTile displaysJae-Han Lee, Kyung-Rae Kim, Chang-Su Kim. 1-4 [doi]
- A modified CCM approach for simulating hierarchical interconnected dynamical systemsMichael Popp, Wolfgang Mathis, Malte John, Olga Korolova, Axel Mertens, Bernd Ponick. 1-4 [doi]
- A delay-efficient ring-LWE cryptography architecture for biometric securityTuy Nguyen Tan, Hanho Lee. 1-4 [doi]
- An 11-bit 20-MSample/s pipelined ADC with OTA bias current regulation to optimize power dissipationJosé Ángel Díaz-Madrid, Ginés Doménech-Asensi, José-Alejandro López Alcantud, M. Oberst. 1-4 [doi]
- Architecture for complex network measures of brain connectivityChandrajit Pal, Dwaipayan Biswas, Koushik Maharatna, Amlan Chakrabarti. 1-4 [doi]
- Closed-form model for dual-gate ambipolar CNTFET circuit designXuan Hu, Joseph S. Friedman. 1-4 [doi]
- Pipeline tracking and event classification for an automatic inspection vision systemAntonio Petraglia, Roberto Campos, José Gabriel Rodríguez Carneiro Gomes, Mariane R. Petraglia. 1-4 [doi]
- A PVT resistant coarse-fine time-to-digital converterEsrafil Jedari, Rashid Rashidzadeh, Mehrdad Saif. 1-4 [doi]
- Pupil localization for gaze estimation using unsupervised graph-based modelSalah Rabba, Yifeng He, Matthew J. Kyan, Ling Guan. 1-4 [doi]
- Analysis of CMS noise reduction for 65 nm CISRaffaele Capoccia, Assim Boukhayma, Christian Enz. 1-4 [doi]
- A 65-nm CMOS 7fJ per synaptic event clique-based neural network in scalable architectureBenoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel. 1-4 [doi]
- Electromechanical cardiac monitoring SoC for atrial fibrillation detectionJonas Eriksson, Mika Kutila, Tapani Nevalainen, Phong Nguyen, Kati Sairanen, Marko Ylitolva, Tero Koivisto, Mikko Pänkäälä. 1-4 [doi]
- Exploration and evaluation of low-dropout linear voltage regulator with FinFET, TFET and hybrid TFET-FinFET implementationsChia-Ning Chang, Yin-Nien Chen, Po-Tsang Huang, Pin Su, Ching-Te Chuang. 1-4 [doi]
- Face hallucination using deep collaborative representation for local and non-local patchesTao Lu, Lanlan Pan, Hao Wang, Yanduo Zhang, Bo Wang, Zixiang Xiong. 1-4 [doi]
- Randomized unregulated step descent for limited precision synaptic elementsLorenz K. Müller, Manu V. Nair, Giacomo Indiveri. 1-4 [doi]
- Seeking low-power synchronous/asynchronous systems: A FIR implementation case studyAli Skaf, Jean Simatic, Laurent Fesquet. 1-4 [doi]
- An analog phase prediction based fractional-N PLLAaron Bluestone, Ryan Kaveh, Luke Theogarajan. 1-4 [doi]
- CMOS-nano-bio interface array for cardiac and neuro technologyJeffrey Abbott, Tianyang Ye, Ling Qin, Marsela Jorgolli, Rona Gertner, Donhee Ham, Hongkun Park. 1 [doi]
- pH sensing threads with CMOS readout for Smart BandagesMeera Punjiya, Hojatollah Rezaei Nejad, Pooria Mostafalu, Sameer Sonkusale. 1-4 [doi]
- Automatic endosomal structure detection and localization in fluorescence microscopic imagesDongyun Lin, Zhiping Lin, Ramraj Velmurugan, Raimund J. Ober. 1-4 [doi]
- A multidimensional transfer function model for frequency dependent transmission linesMaximilian Schafer, Rudolf Rabenstein, Christian Strobl. 1-4 [doi]
- Hardware optimization of the perturbation for probabilistic gradient descent bit flipping decodersKhoa LeTrung, Fakhreddine Ghaffari, David Declercq, Bane Vasic. 1-4 [doi]
- Photonic allpass filter: A versatile building block for all-optical signal processingYujia Wang, Truong Nguyen. 1-4 [doi]
- Mitigating noise effects in volatile nano-metal oxide neural detectorIsha Gupta, Alexantrou Serb, Ali Khiat, Themistoklis Prodromakis. 1-4 [doi]
- Live demonstration: Photon counting and direct ToF camera prototype based on CMOS SPADsIon Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. 1 [doi]
- Fast image super-resolution via Randomized Multi-split ForestsZhi-Song Liu, Wan-Chi Siu, Yui-Lam Chan. 1-4 [doi]
- An area-efficient, 0.022-mm2, fully integrated resistor-less relaxation oscillator for ultra-low power real-time clock applicationsHiroki Asano, Tetsuya Hirose, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa. 1-4 [doi]
- Battery energy storage dispatch analysis within the storage placement problemJesse Hill, Chika Nwankpa. 1-4 [doi]
- A heuristics-based VM allocation mechanism for cloud data centersJing V. Wang, Nuwan Ganganath, Chi-Tsun Cheng, Chi K. Tse. 1-4 [doi]
- A 9.4 pJ/bit 432 MHz 16-QAM/MSK transmitter based on edge-combining power amplifierYanshu Guo, Songping Mai, Zhaoyang Weng, Heng Liu, Hanjun Jiang, Zhihua Wang. 1-4 [doi]
- Cybersecurity and the electric grid: Innovation and intellectual propertyTheodore A. Wood, Marc Dandin. 1 [doi]
- A 0.9V-VDD sub-nW resistor-less duty-cycled CMOS voltage reference in 65nm for IoTMaoqiang Liu, Arthur H. M. van Roermund, Pieter Harpe. 1-4 [doi]
- A guide to graceful aging: How not to overindulge in post-silicon burn-in for enhancing reliability of weak PUFMd. Nazmul Islam, Vinay C. Patil, Sandip Kundu. 1-4 [doi]
- Zero-bias true random number generator using LFSR-based scramblerWei Mao, Yongfu Li, Chun-Huat Heng, Yong Lian. 1-4 [doi]
- Better deep visual attention with reinforcement learning in action recognitionGang Wang, Wenmin Wang, Jingzhuo Wang, Yaohua Bu. 1-4 [doi]
- Design of compact memristive in-memory computing systems using model countingDwaipayan Chakraborty, Sumit Kumar Jha. 1-4 [doi]
- Live demonstration: A CMOS-based ISFET array for rapid diagnosis of the Zika virusNicolas Moser, Jesus Rodriguez-Manzano, Ling-Shan Yu, Melpomeni Kalofonou, Sara de Mateo, Xiaoxiang Li, Tor Sverre Lande, Christofer Toumazou, Pantelis Georgiou. 1 [doi]
- A low-power low-noise CMOS voltage reference with improved PSR for wearable sensor systemsPinar Basak Basyurt, Edoardo Bonizzoni, Franco Maloberti, Devrim Yilmaz Aksin. 1-4 [doi]
- Constant-time discontinuity map for forward sensitivity analysis to initial conditions: Spurs detection in fractional-N PLL as a case studyFederico Bizzarri, Angelo Brambilla, Alessandro Colombo, Sergio Callegari. 1-4 [doi]
- XOR gate based low-cost configurable RO PUFLei Zhang, Chenghua Wang, Weiqiang Liu, Máire O'Neill, Fabrizio Lombardi. 1-4 [doi]
- An efficient DFT-based algoritiim for the charger noise problem in capacitive touch applicationsShih-Lun Huang, Sheng-Yi Hung, Chung-Ping Chen. 1-4 [doi]
- Trojan-feature extraction at gate-level netlists and its application to hardware-Trojan detection using random forest classifierKento Hasegawa, Masao Yanagisawa, Nozomu Togawa. 1-4 [doi]
- Reducing circuit design complexity for neuromorphic machine learning systems based on Non-Volatile Memory arraysPritish Narayanan, Lucas L. Sanches, Alessandro Fumarola, Robert M. Shelby, Stefano Ambrogio, Jun-Woo Jang, Hyunsang Hwang, Yusuf Leblebici, Geoffrey W. Burr. 1-4 [doi]
- Pipeline AER arbitration with event agingJuan Antonio Leñero-Bardallo, Fernando Perez-Peña, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. 1-4 [doi]
- Power-aware space-time-trellis-coded MIMO detector with SNR estimation and state-purgingKai-Ting Shr, Chieh-Yu Chen, Jin-Wei Jhang, Yuan-Hao Huang. 1-4 [doi]
- Integer factorization with a neuromorphic sieveJohn V. Monaco, Manuel M. Vindiola. 1-4 [doi]
- A 0.4V 0.08fJ/cycle retentive True-Single-Phase-Clock 18T Flip-Flop in 28nm FDSOI CMOSFrançois Stas, David Bol. 1-4 [doi]
- A wireless neuroprosthetic for augmenting perception through modulated electrical stimulation of somatosensory cortexXilin Liu, Milin Zhang, Xiaotie Wu, Andrew G. Richardson, Solymar T. Maldonado, Sam DeLuccia, Yohannes Ghenbot, Timothy H. Lucas, Jan Van der Spiegel. 1-4 [doi]
- Test pattern generation for multiple stuck-at faults not covered by test patterns for single faultsConrad J. Moore, Peikun Wang, Amir Masoud Gharehbaghi, Masahiro Fujita. 1-4 [doi]
- Demystifying the cost of task migration in distributed memory many-core systemsMarcelo Ruaro, Fernando Gehm Moraes. 1-4 [doi]
- LightProbe: A 64-channel programmable ultrasound transducer head with an integrated front-end and a 26.4 Gb/s optical linkPascal Alexander Hager, Christoph Risser, Peter-Karl Weber, Luca Benini. 1-4 [doi]
- Color temporal contrast sensitivity in dynamic vision sensorsDiederik Paul Moeys, Chenghan Li, Julien N. P. Martel, Simeon Bamford, Luca Longinotti, Vasyl Motsnyi, David San Segundo Bello, Tobi Delbrück. 1-4 [doi]
- Tensor-based fusion of EEG and FMRI to understand neurological changes in schizophreniaEvrim Acar, Yuri Levin-Schwartz, Vince D. Calhoun, Tülay Adali. 1-4 [doi]
- Hardware implementation of convolutional STDP for on-line visual feature learningAmirreza Yousefzadeh, T. Masquelier, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 1-4 [doi]
- Vaccinating SIS epidemics in networks with zero-determinant strategyXiaojie Li, Cong Li, Xiang Li. 1-4 [doi]
- The analytic expression of the output spectrum of ΔΣ ADCs with nonlinear binary-weighted DACs and Gaussian input signalsGhyslain Gagnon, François Gagnon, Gordon W. Roberts. 1-4 [doi]
- Multi component carrier, sub-band DPD and GNURadio implementationChance Tarver, Mahmoud Abdelaziz, Lauri Anttila, Joseph R. Cavallaro. 1-4 [doi]
- A switched-capacitor dendritic arbor for low-power neuromorphic applicationsPezhman Mamdouh, Alice C. Parker. 1-4 [doi]
- A wirelessly powered high-speed transceiver for high-density bidirectional neural interfacesEsmaeel Maghsoudloo, Masoud Rezaei, Benoit Gosselin. 1-4 [doi]
- Efficient SVM-based hotspot detection using spectral clusteringFan Yang 0001, Charles C. Chiang, Xuan Zeng 0001, Dian Zhou. 1-4 [doi]
- Piecewise BJT process spread compensation exploiting base recombination currentDapeng Sun, Man Kay Law, Bo Wang 0012, Pui-In Mak, Rui Paulo Martins. 1-4 [doi]
- Ring oscillator based sub-1V leaky integrate-and-fire neuron circuitBibhu Datta Sahoo. 1-4 [doi]
- A 700 μW 1GS/s 4-bit folding-flash ADC in 65nm CMOS for wideband wireless communicationsBayan Nasri, Sunit P. Sebastian, Kae-Dyi You, RamKumar RanjithKumar, Davood Shahrjerdi. 1-4 [doi]
- An ultra low-power capacitively-coupled chopper instrumentation amplifier for wheatstone-bridge readout circuitsMoaaz Ahmed, Farid Boussaïd, Amine Bermak. 1-4 [doi]
- On optimum placement of sectionalizing switches in radial distribution networksZbigniew Galias. 1-4 [doi]
- A dual switched-capacitor integrator architecture for versatile, real-time amperometric biosensingMichail Pligouroudis, Konstantinos I. Papadimitriou, Daniel Evans, Themistoklis Prodromakis. 1-4 [doi]
- High resolution and linearity enhanced SAR ADC for wearable sensing systemsHua Fan, Hadi Heidari, Franco Maloberti, Dagang Li, Daqian Hu, Yuanjun Cen. 1-4 [doi]
- PredictiveNet: An energy-efficient convolutional neural network via zero predictionYingyan Lin, Charbel Sakr, Yongjune Kim, Naresh Shanbhag. 1-4 [doi]
- Search space reduction for the non-exact projective NPNP Boolean matching problemFeng Wang, Jiaxi Zhang, Lange Wu, Wentai Zhang, Guojie Luo. 1-4 [doi]
- Near-field dual-use antenna for magnetic-field based communication and electrical-field based distance sensing in mm3-class sensor nodeRyo Shirai, Jin Kono, Tetsuya Hirose, Masanori Hashimoto. 1-4 [doi]
- From "MISSION: IMPOSSIBLE" to mission possible: Fully flexible intelligent contact lens for image classification with analog-to-information processingQin Li, Zheyu Liu, Fei Qiao, Xing Wu, Chaolun Wang, Qi Wei, Huazhong Yang. 1-4 [doi]
- A robust fully-dynamic residue amplifier for two-stage SAR assisted pipeline ADCsShreya Singh, Pydi Bahubalindruni, João Goes. 1-4 [doi]
- A low-voltage high-swing colpitts VCO with Inherent tapped capacitors based dynamic body bias techniqueJun Chen, Benqing Guo, Fading Zhao, Yao Wang, Guangjun Wen. 1-4 [doi]
- A low-power temperature-compensated CMOS peaking current reference in subthreshold regionMohammad S. Eslampanah Sendi, Siavash Kananian, Elaheh Zendehrouh, Mohammad Sharifkhani, Amir M. Sodagar, Mahdi Shabany. 1-4 [doi]
- Nonlinearity-induced spurious tones and noise in digitally-assisted frequency synthesizersMichael Peter Kennedy, Hongjia Mo, Dawei Mai. 1-4 [doi]
- A 1024-QAM capable WLAN receiver with -56.3 dB image rejection ratio using self-calibration techniqueShusuke Kawai, Toshiyuki Yamagishi, Yosuke Hagiwara, Shigehito Saigusa, Ichiro Seto, Shoji Otaka, Shuichi Ito. 1-4 [doi]
- Reflection removal based on single light field captureYun Ni, Jie Chen, Lap-Pui Chau. 1-4 [doi]
- Live demonstration: A 1600 by 1200, 300 mW, 40 fps multi-spectral imager for near-infrared fluorescence image-guided surgeryMissael Garcia, Mohamed Zayed, Kyoung-mi Park, Viktor Gruev. 1 [doi]
- A 4Gb/s half-rate DFE with switched-cap and IIR summation for data correctionGyunam Jeon, Yong-Bin Kim. 1-4 [doi]
- Variable pixel G-neighbor filtersYerbol Akhmetov, Joshin John Mathew, Alex Pappachen James. 1-4 [doi]
- Fast rate distortion optimization with adaptive context group modeling for HEVCHung-Cheng Chen, Tian-Sheuan Chang. 1-4 [doi]
- Accelerating convolutional neural network with FFT on tiny coresTahmid Abtahi, Amey M. Kulkarni, Tinoosh Mohsenin. 1-4 [doi]
- Object-based on-line video summarization for internet of video thingsShih-Ting Lin, Yuan-Hsin Liao, Yu Tsao, Shao-Yi Chien. 1-4 [doi]
- FPGA-based strong PUF with increased uniqueness and entropy propertiesChongyan Gu, Neil Hanley, Máire O'Neill. 1-4 [doi]
- A novel segmentation scheme for DTC-based ΔΣ fractional-N PLLTuan Minh Vo, Carlo Samori, Andrea L. Lacaita, Salvatore Levantino. 1-4 [doi]
- 25-Gb/s clock and data recovery IC using latch-load combined with CML buffer circuit for delay generation with 65-nm CMOSTomonori Tanaka, Kosuke Furuichi, Hiromu Uemura, Ryosuke Noguchi, Natsuyuki Koda, Koki Arauchi, Daichi Omoto, Hiromi Inaba, Keiji Kishine, Shinsuke Nakano, Masafumi Nogawa, Hideyuki Nosaka. 1-4 [doi]
- Hardware accelerators for recurrent neural networks on FPGAAndre Xian Ming Chang, Eugenio Culurciello. 1-4 [doi]
- Calibrating silicon-synapse dynamics using Time-Encoding and Decoding MachinesEric Kauderer-Abrams, Kwabena Boahen. 1-4 [doi]
- Stability of Rotary Traveling Wave Oscillators under process variations and NBTIRagh Kuttappa, Leo Filippini, Scott Lerner, Baris Taskin. 1-4 [doi]
- Flexible hydrogel actuated graphene-cellulose biosensor for monitoring pHGeorge K. Knopf, Dogan Sinar. 1-4 [doi]
- Live demonstration: Automated data acquisition and digital curation platform for enhancing research precision, productivity and reproducibilityYousef Gtat, Sina Parsnejad, Andrew J. Mason. 1 [doi]
- A digital compensation method canceling static and non-linear time-variant feedback DAC errors in ΣΔ analog-to-digital convertersMarcel Runge, Friedel Gerfers. 1-4 [doi]
- Design-oriented models for quick estimation of path delay variability via the fan-out-of-4 metricMassimo Alioto, Giuseppe Scotti, Alessandro Trifiletti. 1-4 [doi]
- Design of IIR frequency-response masking filters with near linear phase using constrained optimizationQinglai Liu, Yong Ching Lim, Zhiping Lin, Xiaoping Lai. 1-4 [doi]
- A framework for visual fog computingShao-Wen Yang, Omesh Tickoo, Yen-Kuang Chen. 1-4 [doi]
- FPGA implementation of 2-D wave digital filters for real time motion feature extractionLech Kolonko, Jörg Velten, Daniel Wagner, Anton Kummert. 1-4 [doi]
- Fast classification using sparsely active spiking networksHesham Mostafa Elsayed, Bruno U. Pedroni, Sadique Sheik, Gert Cauwenberghs. 1-4 [doi]
- Hierarchical functional obfuscation of integratec circuits using a mode-based approachSandhya Koteshwara, Chris H. Kim, Keshab K. Parhi. 1-4 [doi]
- A new watermarking scheme on scan chain ordering for hard IP protectionXiaonan Huang, Aijiao Cui, Chip-Hong Chang. 1-4 [doi]
- Introducing TFUE: The trusted foundry and untrusted employee model in IC supply chain securityYuntao Liu, Chongxi Bao, Yang Xie, Ankur Srivastava. 1-4 [doi]
- Distributed circuit theory: ReminiscencesOmar Wing. 1-2 [doi]
- Live demonstration - Multilayer spiking neural network for audio samples classification using SpiNNakerJuan Pedro Dominguez-Morales, Antonio Rios-Navarro, Daniel Gutierrez-Galan, Ricardo Tapiador-Morales, Angel Jiménez-Fernandez, Elena Cerezuela-Escudero, M. Domínguez-Morales, Alejandro Linares-Barranco. 1 [doi]
- A low-noise cartesian error feedback architectureJinbo Li, Qun Jane Gu. 1-4 [doi]
- Efficient spectral graph sparsification via Krylov-subspace based spectral perturbation analysisShuhan Zhang, Fan Yang 0001, Xuan Zeng 0001, Dian Zhou, Shun Li, Xiangdong Hu. 1-4 [doi]
- Image classification by cellular nonlinear networksSimon Walz, Jens Muller, Ronald Tetzlaff. 1-4 [doi]
- A dynamic vision sensor with direct logarithmic output and full-frame picture-on-demandJing Huang, Menghan Guo, Shoushun Chen. 1-4 [doi]
- Analysis and comparison of charge-pump conditioning circuits for capacitive electromechanical energy conversionArmine Karami, Dimitri Galayko, Mohammed Bedier, Philippe Basset. 1-4 [doi]
- A rectifier/AC shunt regulator combo circuit with inherent AM demodulation front-end for wireless powered implantsEdward K. F. Lee. 1-4 [doi]
- In-package spiral inductor characterization for high efficiency buck convertersChen Yan, Zhihua Gan, Emre Salman. 1-4 [doi]
- A 142MOPS/mW integrated programmable array accelerator for smart visual processingSatyajit Das, Davide Rossi, Kevin J. M. Martin, Philippe Coussy, Luca Benini. 1-4 [doi]
- Document image binarization via optimized hybrid thresholdingYunfeng Liang, Zhiping Lin, Lei Sun, Jiuwen Cao. 1-4 [doi]
- A novel charge sensitive pre-amplifier structure for biological temperature readout applicationsHanfeng Wang, Song Yuan, Syed K. Islam, Charles L. Britton. 1-4 [doi]
- A two-stage variation-aware task mapping scheme for fault-tolerant multi-core Network-on-ChipsLei Zhang, Jianxun Yang, Chengbo Xue, Yue Ma, Shan Cao. 1-4 [doi]
- A wideband RF power detector with -56 dB sensitivity and 64 dB dynamic range in SiGe BiCMOS technologySreekesh Lakshminarayanan, Klaus Hofmann. 1-4 [doi]
- Event-driven random backpropagation: Enabling neuromorphic deep learning machinesEmre Neftci, Charles Augustine, Somnath Paul, Georgios Detorakis. 1-4 [doi]
- Adaptive 6.78-MHz ISM band wireless charging for small form factor receiversMohamed O. Abouzeid, Ahmet Tekin. 1-4 [doi]
- High-level synthesized 2-D IDCT/IDST implementation for HEVC codecs on FPGAVili Viitamaki, Panu Sjovall, Jarno Vanne, Timo D. Hämäläinen. 1-4 [doi]
- An 8b 0.8kS/s configurable VCO-based ADC using oxide TFTs with Inkjet printing interconnectionWenyu Sun, Qinghang Zhao, Fei Qiao, Yongpan Liu, Huazhong Yang, Xiaojun Guo, Lei Zhou, Lei Wang. 1-4 [doi]
- Hybrid energy harvesting in 3-D IC IoT devicesBoris Vaisband, Eby G. Friedman. 1-4 [doi]
- Sparse FIR filter design via partial L1 optimizationLi Zheng, Aimin Jiang, Hon Keung Kwan. 1-4 [doi]
- A self-test on wafer level for a MEM gyroscope readout based on ΔΣ modulationSebastian Nessler, Maximilian Marx 0002, Yiannos Manoli. 1-4 [doi]
- Live demonstration: Prosthesis grip force modulation using neuromorphic tactile sensingLuke Osborn, Harrison Nguyen, Rahul R. Kaliki, Nitish V. Thakor. 1 [doi]
- How to design battery-assisted photovoltaic switched-inductor CMOS charger-suppliesRajiv Damodaran Prabha, Gabriel A. Rincón-Mora. 1-4 [doi]
- Nodal thermal analysis for multi-VT SOFFET based subthreshold circuitsEmeshaw Ashenafi, Azzedin D. Es-Sakhi, Masud H. Chowdhury. 1-4 [doi]
- Live demonstration: Hardware implementation of convolutional STDP for on-line visual feature learningAmirreza Yousefzadeh, Timothée Masquelier, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 1 [doi]
- Dynamic ADC-quantization for oscillation-free performance of digitally controlled convertersAsif E. Syed, Amit Patra. 1-4 [doi]
- Highly parallel bitmap-based regular expression matching for text analyticsXuan-Thuan Nguyen, Hong-Thu Nguyen, Katsumi Inoue, Osamu Shimojo, Cong-Kha Pham. 1-4 [doi]
- A 170nW CMOS wake-up receiver with -60 dBm sensitivity using AlN high-Q piezoelectric resonatorsScott T. Block, Xiaonan Jiang, Brad Harris, Can Cui, Jeronimo Segovia Fernandez, Rajeevan Amirtharajah, David A. Horsley, Hooman Rashtian, Xiaoguang Leo Liu. 1-4 [doi]
- Extending the neural engineering framework for nonideal silicon synapsesAaron R. Voelker, Ben Varkey Benjamin, Terrence C. Stewart, Kwabena Boahen, Chris Eliasmith. 1-4 [doi]
- Fundamental limits on energy efficiency performance of VCO-based ADCsJohn A. McNeill, Sulin Li, Jianping Gong, Long Pham. 1-4 [doi]
- Highly linear integrate-and-fire modulators with soft reset for low-power high-speed imagersMichele Dei, Roger Figueras, Josep Maria Margarit, Lluís Terés, Francisco Serra-Graells. 1-4 [doi]
- ADMM-based infinity norm detection for large MU-MIMO: Algorithm and VLSI architectureShahriar Shahabuddin, Markku J. Juntti, Christoph Studer. 1-4 [doi]
- A CAM enabled fast video motion estimation based on locality sensitive signaturesPavel Arnaudov, Tokunbo Ogunfunmi. 1-4 [doi]
- Phase noise analysis of a homodyne radar system driven by a phase-locked loopFrank Herzel, Dietmar Kissinger. 1-4 [doi]
- Enhancing PUF reliability by machine learningYuejiang Wen, Yingjie Lao. 1-4 [doi]
- An auxiliary switched-capacitor power converter (SCPC) applied in stacked digital architecture for energy utilization enhancementShiquan Fan, Zhuoqi Guo, Jie Zhang, Xu Yang, Li Geng. 1-4 [doi]
- A unifying perspective on phase noise and injection lockingDouglas R. Frey. 1-4 [doi]
- A novel high-rate hybrid window ADC design for monolithic digitally-controlled DC-DC convertersYin Sun, Victor Adrian, Joseph S. Chang. 1-4 [doi]
- Hardware-based anti-counterfeiting techniques for safeguarding supply chain integrityMd Tanvir Arafin, Andrew Stanley, Praveen Sharma. 1-4 [doi]
- A cost-efficient delay-fault monitorGaole Sai, Basel Halak, Mark Zwolinski. 1-4 [doi]
- A 12-bit 40-MS/s calibration-free SAR ADCChung-Wei Hsu, Li-Jen Chang, Chun-Po Huang, Soon-Jyh Chang. 1-4 [doi]
- Live demonstration - An adaptable prosthetic socket: Regulating independent air bladders through closed-loop controlDaniel Candrea, Avinash Sharma, Luke Osborn, Yikun Gu, Nitish V. Thakor. 1 [doi]
- Modified subband adaptive notch filters for eliminating multiple sinusoids with reduced bias and faster convergenceYasutomo Kinugasa, Tapio Saramäki, Yoshio Itoh, Naoto Sasaoka, Kazuki Shiogai, Masaki Kobayshi. 1-4 [doi]
- A current average control method for transient-glitch reduction in variable frequency DC-DC convertersHsin-Shu Chen, Jia-Nan Tai, Yi-Jan Emery Chen, Jau-Horng Chen. 1-4 [doi]
- A technique to transform 6T-SRAM arrays into robust analog PUF with minimal overheadJiangyi Li, Teng Yang, Mingoo Seok. 1-4 [doi]
- PMU-based estimation of dynamic state Jacobian matrixXiaozhe Wang, Konstantin Turitsyn. 1-4 [doi]
- Video streaming optimization using degradation estimation with unequal error protectionPhilip Tovstogan, Hsu-Feng Hsiao. 1-4 [doi]
- Algorithm and architecture for joint detection and decoding for MIMO with LDPC codesShusen Jing, Junmei Yang, Zhongfeng Wang, Xiaohu You, Chuan Zhang. 1-4 [doi]
- Activation of secure zones in many-core systems with dynamic reroutingLuciano L. Caimi, Vinicius Fochi, Eduardo Wächter, Daniel Munhoz, Fernando Gehm Moraes. 1-4 [doi]
- Robust 7-nm SRAM design on a predictive PDKVinay Vashishtha, Manoj Vangala, Parv Sharma, Lawrence T. Clark. 1-4 [doi]
- A 1 Gpps asynchronous logic OOK IR-UWB transmitter based on master-slave PLL synthesisMarco Crepaldi, Gian Nicola Angotzi, Antonio Maviglia, Luca Berdondini. 1-4 [doi]
- Area-efficient fully integrated dual-band class-E/F power amplifier with switchable output power for a BPSK/OOK transmitterChristopher Soell, Juergen Roeber, Heinrich Milosiu, Robert Weigel, Amelie Hagelauer. 1-4 [doi]
- Impact of amplifier bandwidth limitations on gain-boosted N-path receiversDebasish Mitra, Dusan Milosevic, Salvatore Drago, Jan van Sinderen, Lucien J. Breems. 1-4 [doi]
- A 40 nm CMOS T/H-less flash-like stroboscopic ADC with 23dB THD and >50 GHz effective resolution bandwidthGibran Limi Jaya, Shoushun Chen. 1-4 [doi]
- Single image super-resolution using hybrid patch search and local self-similarityShen-Li Lo, Ching-Te Chiu. 1-4 [doi]
- Open source cell library Mono3D to develop large-scale monolithic 3D integrated circuitsChen Yan, Scott Kontak, Hailang Wang, Emre Salman. 1-4 [doi]
- VLSI architecture design of layer-based bilateral and median filtering for 4k2k videos at 30fpsMing-Yi Tai, Wei-Chih Tu, Shao-Yi Chien. 1-4 [doi]
- Cell-to-array thermal-aware analysis of stacked RRAMYingyi Luo, Seda Ogrenci Memik, Jie Gu. 1-4 [doi]
- Compact digital-controlled neuromorphic circuit with low power consumptionJin Zhang, Yuan Wang, Xing Zhang, Ru Huang. 1-4 [doi]
- Noise voltage analysis of spiral inductor for on-chip buck converter designEmeshaw Ashenafi, Masud H. Chowdhury. 1-4 [doi]
- CMOS mixed signal SoC for low-side current sensingT. Rahul, Veeresh Babu Vulligaddala, Bibhudatta Sahoo. 1-4 [doi]
- A modular wireless sensor platform and its applicationsChun-Ming Huang, Yi-Jie Hsieh, Wei-Lin Lai, Yi-Jun Liu, Chun-Ying Juan, Ssu-Ying Chen, Chun-Yu Chen, Jin-Ju Chue, Chih-Chyau Yang, Chien-Ming Wu. 1-4 [doi]
- A mixed-signal adaptive filter for level-crossing analog-to-digital converterYuxuan Luo, Chun-Huat Heng. 1-4 [doi]
- Analysis of power consumption in LC oscillators based on the inversion coefficientFrancesco Chicco, Alessandro Pezzotta, Christian C. Enz. 1-4 [doi]
- Fault tolerant techniques for TSV-based interconnects in 3-D ICsSiroos Madani, Magdy Bayoumi. 1-4 [doi]
- Low-cost fortification of arbiter PUF against modeling attackSiarhei S. Zalivaka, Alexander A. Ivaniuk, Chip-Hong Chang. 1-4 [doi]
- Printed organic TFT sensor tagsTse Nga Tina Ng. 1-3 [doi]
- Variability of supercapacitor fractional-order parameters extracted from discharging behavior using least squares optimizationTodd J. Freeborn, Ahmed S. Elwakil. 1-4 [doi]
- A 32-by-32 CMOS microelectrode array for capacitive biosensing and impedance spectroscopyVirgilio Valente, Andreas Demosthenous. 1-4 [doi]
- Statistical modeling of multimodal neuroimaging data in non-subsampled shearlet domain using the student's t location-scale distributionEmimal Jabason, M. Omair Ahmad, M. N. S. Swamy. 1-4 [doi]
- Behaving cyborg locusts for standoff chemical sensingDarshit Mehta, Ege Altan, Rishabh Chandak, Baranidharan Raman, Shantanu Chakrabartty. 1-4 [doi]
- Highly secured state-shift local clock circuit to countermeasure against side channel attackAli Akbar Pammu, Kwen-Siong Chong, Bah-Hwee Gwee. 1-4 [doi]
- Offset based feedforward amplifier with nonlinearity compensation and P1dB expansionZhan Su, Hossein Noori, Fa Foster Dai, Wei Zhou, Yudong Wang, Jun Fu. 1-4 [doi]
- A new approach for diagnosing bridging faults in logic designsAmir Masoud Gharehbaghi, Masahiro Fujita. 1-4 [doi]
- TinyDL: Just-in-time deep learning solution for constrained embedded systemsBita Darvish Rouhani, Azalia Mirhoseini, Farinaz Koushanfar. 1-4 [doi]
- Current mode 1.2-Gbps SLVS transceiver for readout front-end ASICHugo Daniel Hernández, Dionisio Carvalho, Bruno Sanches, Lucas C. Severo, Wilhelmus A. M. Van Noije. 1-4 [doi]
- Stability conditions for hybrid supply modulatorsMin Tan, Wing-Hung Ki. 1-4 [doi]
- An indirect approach to synthesis of noise shaping IIR filters in ΔΣ modulatorsM. Rizwan Tariq, Shuichi Ohno. 1-4 [doi]
- An academic EDA suite for the full-custom design of mixed-mode integrated circuitsJofre Pallares, K. Sabine, Lluís Terés, Francisco Serra-Graells. 1-4 [doi]
- A grid-based detailed routing algorithm for advanced 1D processYe Zhang, Fan Yang 0001, Dian Zhou, Xuan Zeng 0001, Xiangdong Hu. 1-4 [doi]
- Investigation of DRAM PUFs reliability under device accelerated aging effectsFatemeh Tehranipoor, Nima Karimian, Wei Yan, John A. Chandy. 1-4 [doi]
- 28-nm 1T-1MTJ 8Mb 64 I/O STT-MRAM with symmetric 3-section reference structure and cross-coupled sensing amplifierArtur Antonyan, Suk-Soo Pyo, Hyuntaek Jung, Gwanhyeob Koh, Taejoong Song. 1-4 [doi]
- Chopping in continuous-time sigma-delta modulatorsHui Jiang, Burak Gonen, Kofi A. A. Makinwa, Stoyan N. Nihtianov. 1-4 [doi]
- A 25 Gb/s 470 μW active inductor equalizer for ground referenced signaling receiversLaura Fick, Dennis Sylvester, John W. Poulton, John M. Wilson, C. Thomas Gray. 1-4 [doi]
- An ECG chopper amplifier achieving 0.92 NEF and 0.85 PEF with AC-coupled inverter-stacking for noise efficiency enhancementSomok Mondal, Drew A. Hall. 1-4 [doi]
- A low-complexity fully scalable interleaver/address generator based on a novel property of QPP interleaversArash Ardakani, Mahdi Shabany. 1-4 [doi]
- A 7μΑ 1.6ppm/ °C bandgap design realizable in CMOS processKin Keung Jeff Lau. 1-4 [doi]
- Below-ground injection of floating-gate transistors for programmable analog circuitsMir Mohammad Navidi, David W. Graham, Brandon Rumberg. 1-4 [doi]
- Optimum synchronous phase detection and its application in smart sensor interfacesSining Pan, Kofi A. A. Makinwa. 1-4 [doi]
- H.265/HEVC encoder optimization with parallel-efficient algorithm and QP-based early terminationCaoyang Jiang, Saeid Nooshabadi. 1-4 [doi]
- Data-adaptive color image denoising and enhancement using graph-based filteringHamidreza Sadreazami, Amir Asif, Arash Mohammadi 0001. 1-4 [doi]
- A new regularized recursive dynamic factor analysis with variable forgetting factor for wireless sensor networks with missing dataJ. Q. Lin, H. C. Wu, S. C. Chan. 1-4 [doi]
- Synaptic integrators implement inhibitory plasticity, eliminate loops and create a "winnerless" NetworkJames Kozloski. 1-4 [doi]
- Fast intra coding unit size decision for HEVC with GPU based keypoint detectionFalei Luo, Shanshe Wang, Siwei Ma, Nan Zhang, Yun Zhou, Wen Gao 0001. 1-4 [doi]
- A comparison of NLMS and LMS algorithms for cyclostationary input signalsSheng Zhang, Wei Xing Zheng. 1-4 [doi]
- Hybrid-DPLL-based constant-envelope modulator for Internet-of-Things chipsetsIoannis L. Syllaios. 1-4 [doi]
- A novel smoothness-based interpolation algorithm for division of focal plane PolarimetersJieyun Zhang, Wenbin Ye, Ashfaq Ahmed, Zhurui Qiu, Yuan Cao, Xiaojin Zhao. 1-4 [doi]
- Floating-gate FPAA calibration for analog system design and built-in self testSihwan Kim, Sahil Shah, Jennifer Hasler. 1-4 [doi]
- A delay time controlled active rectifier with 95.3% peak efficiency for wireless power transmission systemsZhongming Xue, Dan Li, Wei Gou, Lina Zhang, Shiquan Fan, Li Geng. 1-4 [doi]
- Modeling cascading failure propagation in power systemsXi Zhang, Choujun Zhan, Chi K. Tse. 1-4 [doi]
- On-chip ID generation for multi-node implantable devices using SA-PUFChang Gao, Sara S. Ghoreishizadeh, Yan Liu, Timothy G. Constandinou. 1-4 [doi]
- CMOS current-mode PWL implementation using MAX and MIN operatorsO. J. Cinco-Izquierdo, Maria Teresa Sanz, L. Hernandez, Carlos Aristoteles De la Cruz-Blas. 1-4 [doi]
- Palmprint recognition using deep scattering networkShervin Minaee, Yao Wang. 1-4 [doi]
- A practical hafnium-oxide memristor model suitable for circuit design and simulationSherif Amer, Sagarvarma Sayyaparaju, Garrett S. Rose, Karsten Beckmann, Nathaniel C. Cady. 1-4 [doi]
- Practical IP watermarking and fingerprinting methods for ASIC designsXi Chen, Gang Qu, Aijiao Cui. 1-4 [doi]
- Design methodology for area and energy efficient OxRAM-based non-volatile flip-flopM. Nataraj, A. Levisse, Bastien Giraud, Jean-Philippe Noël, Pascal Andreas Meinerzhagen, Jean Michel Portal, Pierre-Emmanuel Gaillardon. 1-4 [doi]
- Impact of fixed pattern noise on embedded image compression techniquesWilliam Guicquero, Laurent Alacoque. 1-4 [doi]
- A charge-based ultra-low power continuous-time ADC for data driven neural spike processingMichal Maslik, Yan Liu, Tor Sverre Lande, Timothy G. Constandinou. 1-4 [doi]
- Computational complexity reduction for signal cyclostationarity detection based spectrum sensingShusuke Narieda. 1-4 [doi]
- Live demonstration: A pulsar signal receiver system for navigationDiogo Brito, Joao Santos, Jorge R. Fernandes, Gonçalo Tavares. 1 [doi]
- Throughput evaluation of DSP applications based on hierarchical dataflow modelsHamza Deroui, Karol Desnos, Jean-François Nezan, Alix Munier Kordon. 1-4 [doi]
- Fast human-animal detection from highly cluttered camera-trap images using joint background modeling and deep learning classificationHayder Yousif, Jianhe Yuan, Roland Kays, Zhihai He. 1-4 [doi]
- A 450kHz PVT-resilient all-digital BPSK demodulator for energy harvesting sensor nodesAdelson Chua, Louis P. Alarcón. 1-4 [doi]
- A 50Gb/s repeater and 2 × 50Gb/s 27-1 PRBS generatorDengrong Li, Liji Wu, Shuai Yuan, Xiangmin Zhang. 1-4 [doi]
- An EEG artifact identification embedded system using ICA and multi-instance learningAli Jafari, Sunil Gandhi, Sri Harsha Konuru, W. David Hairston, Tim Oates, Tinoosh Mohsenin. 1-4 [doi]
- Real-time trajectory calculation and prediction using neighborhood-level parallel processingMahir Kabeer Gharzai, Dingyi Hong, Joseph A. Schmitz, Michael W. Hoffman, Sina Balkir. 1-4 [doi]
- A battery-less, 255 nA quiescent current temperature sensor with voltage regulator fully powered by harvesting ambient vibrational energyShiquan Fan, Liuming Zhao, Peng Wang, Ran Wei, Xuqiang Zheng, Zenghui Wang, Philip X.-L. Feng. 1-4 [doi]
- Efficient approximate layered LDPC decoderYangcan Zhou, Jun Lin, Zhongfeng Wang. 1-4 [doi]
- A hybrid nine-level inverter with series/parallel conversionYuya Nakagawa, Hirotaka Koizumi. 1-4 [doi]
- A Class-D output bridge with dynamic dead-time, small delay and reduced EMITimuçin Karaca, Mario Auer. 1-4 [doi]
- Non-blocking BIST for continuous reliability monitoring of Networks-on-ChipJunshi Wang, Letian Huang, Masoumeh Ebrahimi, Qiang Li, Guangjun Li, Axel Jantsch. 1-4 [doi]
- Novel mixed-signal based short-range leakage canceler for FMCW radar transceiver MMICsAlexander Melzer, Mario Huemer, Alexander Onic. 1-4 [doi]
- Integration of level shifting in a TSPC flip-flop for low-power robust timing closure in dual-Vdd ULV circuitsFrançois Stas, David Bol. 1-4 [doi]
- A calibration-free low-power supply-pushing reduction circuit (SPRC) for LC VCOsMuhammad Swilam, Ahmed Naguib, Brian Dupaix, Waleed Khalil, Ayman Fayed. 1-4 [doi]
- Deep modeling: Circuit characterization using theory based models in a data driven frameworkDavid S. Bolme, Aravind Mikkilineni, Derek C. Rose, Srikanth B. Yoginath, Mohsen Judy, Jeremy Holleman. 1-4 [doi]
- End-to-end scalable FPGA accelerator for deep residual networksYufei Ma, Minkyu Kim, Yu Cao, Sarma B. K. Vrudhula, Jae-sun Seo. 1-4 [doi]
- Ultra miniature offset cancelled bandgap reference with ±0.534% inaccuracy from -10°C to 110°CNatan Vinshtok-Melnik, Robert Giterman, Joseph Shor. 1-4 [doi]
- Post-processing of supergate networks aiming cell layout optimizationGustavo H. Smaniotto, Regis Zanandrea, Maicon Schneider Cardoso, Renato Souza de Souza, Matheus T. Moreira, Felipe S. Marques, Leomar S. da Rosa Jr.. 1-4 [doi]
- Design of composite filters with equiripple passbands and least-squares stopbandsWu-Sheng Lu, Takao Hinamoto. 1-4 [doi]
- A fixed point exponential function accelerator for a neuromorphic many-core systemJohannes Partzsch, Sebastian Höppner, Matthias Eberlein, René Schüffny, Christian Mayr, David R. Lester, Steve Furber. 1-4 [doi]
- Robust speaker verification with a two classifier format and feature enhancementJoshua S. Edwards, Ravi P. Ramachandran, Umashanger Thayasivam. 1-4 [doi]
- An optical tracker based registration method using feedback for robot-assisted insertion surgeriesZhuo Li, Xingtong Liu, Xiang Xie, Guolin Li, Songping Mai, Zhihua Wang. 1-4 [doi]
- A regulated charge pump for injecting floating-gate transistorsMir Mohammad Navidi, David W. Graham. 1-4 [doi]
- Modeling of 3-level buck converters in discontinuous conduction mode for stand-by mode power supplyYoshitaka Yamauchi, Toru Sai, Takayasu Sakurai, Makoto Takamiya. 1-4 [doi]
- A stimulation platform for optogenetic and bionic vision restorationFrancesco Galluppi, Didier Pruneau, Joël Chavas, Xavier Lagorce, Christoph Posch, Guillaume Chenegros, Gilles Cordurié, Charlie Galle, Nicolas Oddo, Ryad Benosman. 1-4 [doi]
- Neuromorphic devices and architectures for next-generation cognitive computingGeoffrey W. Burr, Pritish Narayanan, Robert M. Shelby, Stefano Ambrogio, Hsinyu Tsai, Scott L. Lewis, Kohji Hosokawa. 1-4 [doi]
- Associative search using pseudo-analog memristorsMika Laiho, Mika Grconroos, Jussi H. Poikonen, Eero Lehtonen, Reon Katsumura, Atsushi T.-Fukuchi, Masashi Arita, Yasuo Takahashi. 1-4 [doi]
- A novel nonlinear modulation technique for stabilizing DC-DC switching convertersAbdelali El Aroudi, Kuntal Mandal, Abdullah Abusorrah, Mohammed M. Al-Hindawi, Yusuf Al-Turki, Damian Giaouris, Soumitro Banerjee. 1-4 [doi]
- On envelope-tracking for SOA amplification of multicarrier signalsJ. C. Ortiz Cornejo, S. Bejan, Stéphane Azou, Jorge A. Pardiñas-Mir, P. Morel. 1-4 [doi]
- A widely tunable balun based on 2-port N-path bandpass filters with embedded phase shiftingPrateek Kumar Sharma, Nagarjuna Nallam. 1-4 [doi]
- Beyond SPICEIbrahim N. Hajj. 1 [doi]
- A secure scan chain test scheme exploiting retention loss of memristorsYanping Gong, Fengyu Qian, Lei Wang 0003. 1-4 [doi]
- Wide-range optical CMOS-based diagnosticsMohammed Al-Rawhani, Boon Chong Cheah, Christos Giagkoulovits, Abdul-Shakoor, Bence Nagy, James Beeley, David R. S. Cumming. 1-4 [doi]
- Live demonstration: Feature extraction system using restricted Boltzmann machines on FPGAKodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid. 1 [doi]
- Common-mode termination requirements in concurrent dual-band push-pull power amplifiersByron J. Montgomery, Yifei Li, Nathan M. Neihart. 1-4 [doi]
- A digital reverse current self-calibration technique in 90% high efficiency rectified power supply for near field communication through magnetic field inductionLi-Chi Lin, Kuan-Yu Chen, Wen-Hau Yang, Ru-Yu Huang, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai. 1-4 [doi]
- A fully-synthesized 20-gate digital spike-based synapse with embedded online learningCharlotte Frenkel, Giacomo Indiveri, Jean-Didier Legat, David Bol. 1-4 [doi]
- A low-cost masquerade and replay attack detection method for CAN in automobilesMohammad Raashid Ansari, W. Thomas Miller III, Chenghua She, Qiaoyan Yu. 1-4 [doi]
- Benchmarking TFET from a circuit level perspective: Applications and guidelineLingyi Guo, Le Ye, Cheng Chen, Qianqian Huang, Libo Yang, Zhu Lv, Xia An, Ru Huang. 1-4 [doi]
- On the use of approximate adders in carry-save multiplier-accumulatorsDarjn Esposito, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio G. M. Strollo. 1-4 [doi]
- A 17.5-Gb/s transceiver with a MaxEye-based autonomous adaptationJa-Hoon Jin, Xuefan Jin, Sang-Hoon Kim, Ik-Hwan Kim, Jaehong Jung, Kiwon Kwon, Jung-Hoon Chun. 1-4 [doi]
- Timing speculative SRAMElnaz Ebrahimi, Matthew R. Guthaus, Jose Renau. 1-4 [doi]
- A historical overview of Dr. Sanjit Mitra's academic, research and professional activitiesW. Kenneth Jenkins, Michael A. Soderstrand. 1-4 [doi]
- An adaptive and low-complexity all-zero block detection for HEVC encoderJing Cui, Ruiqin Xiong, Falei Luo, Shanshe Wang, Siwei Ma. 1-4 [doi]
- A 6 V CMOS switching mode amplifier for continuous-wave signals from DC to 3 GHzRobert Bieg, Martin Schmidt, Markus Grozing, Manfred Berroth. 1-4 [doi]
- Fast inversion in GF(2m) with polynomial basis using optimal addition chainsLijuan Li, Shuguo Li. 1-4 [doi]
- Depth-projected determination for adaptive search range in motion estimation for HEVCTsz-Kwan Lee, Yui-Lam Chan, Wan-Chi Siu. 1-4 [doi]
- Live demonstration: Event-driven real-time spoken digit recognition systemJithendar Anumula, Daniel Neil, Xiaoya Li, Tobi Delbrück, Shih-Chii Liu. 1 [doi]
- Combined packet and TDM circuit switching NoCs with novel connection configuration mechanismYong Chen, Emil Matús, Gerhard P. Fettweis. 1-4 [doi]
- A low-power 10-bit multichannel analyzer chip for radiation detectionJoseph A. Schmitz, Mahir Kabeer Gharzai, Sina Balkir, Michael W. Hoffman, Mark Bauer. 1-4 [doi]
- Design of a low-jitter wideband frequency synthesizer for 802.11ad wireless OFDM systems using a frequency sixtuplerFrank Herzel, Arzu Ergintav, Johannes Borngräber, Herman Jalli Ng, Dietmar Kissinger. 1-4 [doi]
- Towards CMOS-based in-vivo NMR spectroscopy and microscopyJonas Handwerker, Marlon Perez-Rodas, Maurits Ortmanns, Klaus Scheffler, Jens Anders. 1-4 [doi]
- Improved Nauta transconductor for wideband intermediate-frequency gm-C filterJianghui Deng, Zhuojian Fu, Zhao Wang, Dihu Chen, Xian Tang, Jianping Guo. 1-4 [doi]
- Adaptive baseband fre-equalization for RF impedance matching correctionCyro S. Hemsi, Cristiano M. Panazio. 1-4 [doi]
- A 5mW batteryless start-up boost charger for wireless power transferSeok-Tae Koh, Se-un Shin, Yu-Jin Yang, Minseong Choi, Seungchul Jung, Gyu-Hyeong Cho. 1-4 [doi]
- Design guidelines for the high-speed dynamic partial reconfiguration based software defined radio implementations on Xilinx Zynq FPGAAhmed Kamaleldin, Ahmed M. Soliman, Ahmed Nagy, Youssef Gamal, Ahmed Shalash, Yehea Ismail, Hassan Mostafa. 1-4 [doi]
- A low cost technique for scan chain diagnosisSatyadev Ahlawat, Darshit Vaghani, Rohini Gulve, Virendra Singh. 1-4 [doi]
- Dynamic threshold based rate adaptation for HTTP live streamingLan Xie, Chao Zhou, Xinggong Zhang, Zongming Guo. 1-4 [doi]
- FPGA design of low-complexity joint channel estimation and data detection for large SIMO wireless systemsOscar Castañeda, Tom Goldstein, Christoph Studer. 1-4 [doi]
- Towards an on-chip signal processing solution for the online calibration of SS-OCT systemsOscar Barajas, Amir Tofighi Zavareh, Sebastian Hoyos. 1-4 [doi]
- Energy-efficient scheduling method with cross-loop model for resource-limited CNN accelerator designsKaiyi Yang, Shihao Wang, Jian-Bin Zhou, Takeshi Yoshimura. 1-4 [doi]
- Analog bandwidth mismatch compensation for time-interleaved ADCs using FD-SOI technologyAlexandre Mas, Eric Andre, Caroline Lelandais-Perrault, Filipe Vinci dos Santos, Philippe Bénabès. 1-4 [doi]
- FPGA-based neural probe positioning to improve spike sorting with OSort algorithmLaszlo Schaffer, Zoltán Nagy, Zoltan Kineses, Richard Fiath. 1-4 [doi]
- A memristor based image sensor exploiting compressive measurement for low-power video streamingFengyu Qian, Yanping Gong, Lei Wang. 1-4 [doi]
- A load variation tolerant readout interface for high linear MEMS capacitive microphonesHan Yang, Jun Soo Cho, Youngtae Yang, Suhwan Kim. 1-4 [doi]
- FPGA demonstration of spiking support vector networks based on growth transform neuronsJohn MacKay, Ahana Gangopadhyay, Shantanu Chakrabartty. 1 [doi]
- Live demonstration: Event-based image processing on CMOS Mihalas-Niebur neuron array transceiverJamal Lottier Molin, Adebayo Eisape, Ralph Etienne-Cummings. 1 [doi]
- A block-based convex combination of NLMS and ZA-NLMS for identifying sparse systems with variable sparsityBijit K. Das, Mrityunjoy Chakraborty. 1-4 [doi]
- Rate-compatible and high-throughput architecture designs for encoding LDPC codesNishil Talati, Zhiying Wang, Shahar Kvatinsky. 1-4 [doi]
- Low-power low-noise amplifier IIP3 improvement under consideration of the cascode stageChun-hsiang Chang, Marvin Onabajo. 1-4 [doi]
- Obstacle avoidance with LGMD neuron: Towards a neuromorphic UAV implementationLlewyn Salt, Giacomo Indiveri, Yulia Sandamirskaya. 1-4 [doi]
- Design trade-offs of integrated polygonal inductors for DC-DC power convertersAhmed H. Shaltout, Stefano Gregori. 1-4 [doi]
- Review: A fully-additive printed electronics process with very-low process variations (Bent and unbent substrates) and PDKTong Ge, Jia Zhou, Yang Kang, Joseph S. Chang. 1-4 [doi]
- A charge limiting and redistribution method for delay line locking in multi-output clock generationYury Antonov, Kari Stadius, Jussi Ryynänen. 1-4 [doi]
- Dimensioning and comparison of common compensation topologies for IPT systemsMartin Trautmann, Marius Ohlendorf, Benedikt Sanftl, Robert Weigel, Alexander Koelpin. 1-4 [doi]
- Record fT, fmax, and GHz amplification in 2dimensional CVD MoS2 embedded gate fetsAtresh Sanne, Saungeun Park, Rudresh Ghosh, Maruthi N. Yogeesh, Chison Liu, Deji Akinwande, Sanjay Kumar Banerjee, Leo Mathew, Rajesh Rao. 1-4 [doi]
- A miniaturized low power biomedical sensor node for clinical research and long term monitoring of cardiovascular signalsJamo Tuominen, Eero Lehtonen, Mojtaba Jafari Tadi, Juho Koskinen, Mikko Pankaala, Tero Koivisto. 1-4 [doi]
- Multi-standard low-power DDR I/O circuit design in 7nm CMOS processM. Chae, T. Wilson, Eric Naviasky. 1-4 [doi]
- An overview of hardware intellectual property protectionJeyavijayan J. V. Rajendran. 1-4 [doi]
- Live demonstration: Depth from focus on a focal plane processor using a focus tunable liquid lensJulien N. P. Martel, Lorenz K. Müller, Stephen J. Carey, Jonathan Müller, Yulia Sandamirskaya, Piotr Dudek. 1 [doi]
- All digital FPGA-implementable time-average-frequency direct period synthesis for IoT applicationsLiming Xiu. 1-4 [doi]
- Corner proposals from HEVC bitstreamsHyomin Choi, Ivan V. Bajic. 1-4 [doi]
- A 13.56 MHz one-stage high-efficiency 0X/1X R3 rectifier for implatable medical devicesXinyuan Ge, Lin Cheng 0001, Wing-Hung Ki. 1-4 [doi]
- A digital clock-less pulse stretcher with application in deep sub-nanosecond pulse detectionZhiqiang Liu, Nanqi Liu, Shravan K. Chaganti, Degang Chen, Amitava Majumdar. 1-4 [doi]
- Pipelined parallel contrastive divergence for continuous generative model learningBruno U. Pedroni, Sadique Sheik, Gert Cauwenberghs. 1-4 [doi]
- A wideband blocker-resilient direct ΔΣ receiver with selective input-impedance matchingFaizan Ul Haq, Mikko Englund, Kari Stadius, Marko Kosunen, Jussi Ryynänen, Kimmo Koli, Kim B. Ostman. 1-4 [doi]
- A calibration-free 13-bit 0.9 V differential SAR-ADC with hybrid DAC and ditheringQuentin Sauve, Damien Favre, Gabriel Morin-Laporte, Mohammad Taherzadeh-Sani, Nicolas Constantin, Frederic Nabki. 1-4 [doi]
- An ultra-low quiescent current power management ASIC with MPPT for vibrational energy harvestingShiquan Fan, Liuming Zhao, Ran Wei, Li Geng, Philip X.-L. Feng. 1-4 [doi]
- Structured electronic design of high-pass ΣΔ converters and their application to cardiac signal acquisitionSamprajani Rout, Wouter A. Serdijn. 1-4 [doi]
- A simple LDO with adaptable bias for internet of things applicationsIgor M. Filanovsky, Luís Bica Oliveira, Nikolay T. Tchamov, V. V. Ivanov. 1-4 [doi]
- A secure test solution for sensor nodes containing crypto-coresShoaleh Hashemi Namin, Ankit Mehta, Parham Hosseinzadeh Namin, Rashid Rashidzadeh, Majid Ahmadi. 1-4 [doi]
- Weighted Kirchhoff index of a resistance network and generalization of Foster's theoremKrishnaiyan Thulasiraman, Mamta Yadav. 1-4 [doi]
- Live demonstration: Dynamic voltage and frequency scaling for neuromorphic many-core systemsSebastian Höppner, Yexin Yan, Bernhard Vogginger, Andreas Dixius, Johannes Partzsch, Prateek Joshi, Felix Neumarker, Stephan Hartmann, Stefan Schiefer, Stefan Scholze, Georg Ellguth, Love Cederstroem, Matthias Eberlein, Christian Mayr, Steve Temple, Luis A. Plana, Jim D. Garside, Simon Davison, David R. Lester, Steve Furber. 1 [doi]
- A variation-aware simulation framework for hybrid CMOS/spintronic circuitsRaffaele De Rose, Marco Lanuzza, Felice Crupi, Giulio Siracusano, Riccardo Tomasello, Giovanni Finocchio, Mario Carpentieri, Massimo Alioto. 1-4 [doi]
- Realization of a 10 GHz PLL in IBM 130 nm SiGe BiCMOS process for optical transmitterKehan Zhu, Sakkarapani Balagopal, Xinyu Wu, Vishal Saxena. 1-4 [doi]
- Low power output-capacitorless class-AB CMOS LDO regulatorVahideh Shirmohammadli, Alireza Saberkari, Herminio Martínez-Garcia, Eduard Alarcón-Cot. 1-4 [doi]
- Optimal design of coupling preferences to mitigate traffic congestion in interconnected networksJian Zhong, Jiajing Wu, Zhenhao Chen, Zibin Zheng. 1-4 [doi]
- Complexity reduction by modes reduction in RD-list for intra-frame prediction in 3D-HEVC depth mapsGustavo Sanchez, Luciano Volcan Agostini, César A. M. Marcon. 1-4 [doi]
- A two-step radio receiver architecture fully embedded into a charge-sharing SAR ADCNuno Pereira 0002, Hugo Serra, João Goes. 1-4 [doi]
- Analog layout density uniformity improvement using interconnect widening and dummy fill insertionGholamreza Shomalnasab, Lihong Zhang. 1-4 [doi]
- Improving EDP in multi-core embedded systems through multidimensional frequency scalingWagner dos Santos Marques, Paulo Silas Severo de Souza, Arthur Francisco Lorenzon, Antonio Carlos Schneider Beck, Mateus Beck Rutzig, Fabio Diniz Rossi. 1-4 [doi]
- TCache: An energy-efficient DRAM cache designJiacong He, Joseph Callenes-Sloan. 1-4 [doi]
- A low-complexity correlation-based time skew estimation technique for time-interleaved SAR ADCsArmia Salib, Barry Cardiff, Mark F. Flanagan. 1-4 [doi]
- A 3DES implementation especially for CBC feedback loop modeYongcheng He, Shuguo Li. 1-4 [doi]
- Area-efficient STT/CMOS non-volatile flip-flopJaeyoung Park. 1-4 [doi]
- A primary-side output current estimator with process compensator for flyback LED driversZong-You Hou, Zong-Ying Ho, Jhih-Cheng You, Chua-Chin Wang. 1-4 [doi]
- Settling time of mesochronous clock re-timing circuits in the presence of timing jitterNaveen Kadayinti, Amitalok J. Budkuley, Dinesh Kumar Sharma. 1-4 [doi]
- Effect of synaptic charge convergence on path planning over a neural networkShashikant Koul, Timothy K. Horiuchi. 1-4 [doi]
- Neuromorphic visual saliency implementation using stochastic computationChetan Singh Thakur, Jamal Lottier Molin, Tao Xiong, Jie Zhang, Ernst Niebur, Ralph Etienne-Cummings. 1-4 [doi]
- A multi-path ring amplifier with dynamic biasingJason Muhlestein, Farshad Farahbakhshian, Praveen Kumar Venkatachala, Un-Ku Moon. 1-4 [doi]
- Approximate-DCT-derived measurement matrices for compressed sensingJian-Bin Zhou, Dajiang Zhou, Takeshi Yoshimura, Satoshi Goto. 1-4 [doi]
- Path planning on the TrueNorth neurosynaptic systemKate D. Fischl, Kaitlin Fair, Wei-Yu Tsai, Jack Sampson, Andreas G. Andreou. 1-4 [doi]
- Application resource management for exploitation of non-volatile memory in many-core systemsSetareh Behroozi, Iraklis Anagnostopoulos. 1-4 [doi]
- Internet of video things in 2030: A world with many camerasAnup Mohan, Kent Gauen, Yung-Hsiang Lu, Wei Wayne Li, Xuemin Chen. 1-4 [doi]
- Low power speech detector on a FPAASahil Shah, Jennifer Hasler. 1-4 [doi]
- A passively compensated capacitive sensor readout with biased varactor temperature compensation and temperature coherent quantizationYong Wang, Yan Hong, Wang Ling Goh, Kevin T. C. Chai, Xin Lou, Wen Bin Ye. 1-4 [doi]
- A refinement process for nozzle path planning in 3D printingKai-Yin Fok, Chi-Tsun Cheng, Chi K. Tse. 1-4 [doi]
- High-speed driver for SiC MOSFET based on class-E inverterYuchong Sun, Ryoko Sugano, Xiuqin Wei, Takashi Hikihara, Hiroo Sekiya. 1-4 [doi]
- An efficient parallel resampling structure based on iterated short convolution algorithmHao Li, Jie Guo, Zhigang Wang, Houjun Wang. 1-4 [doi]
- Dark current reduction by an adaptive CTIA photocircuit for room temperature SWIR sensingAndrew Berkovich, Alexander Castro, Mohammad Islam, Fow-Sen Choa, Geoffrey L. Barrows, Pamela Abshire. 1-4 [doi]
- A 3-coil simultaneous power and uplink data transmission inductive link for battery-less implantable devicesMin Li 0006, Dake Liu, Chen Gong, Wan Qiao. 1-4 [doi]
- Low-power real-time ECG baseline wander removal: Hardware implementationOnur Guven, Amir Eftekhar, Wilko J. Kindt, Timothy G. Constandinou. 1-4 [doi]
- Comprehensive performance and robustness analysis of 2D turn models for network-on-chipsSiavoosh Payandeh Azad, Behrad Niazmand, Karl Janson, Thilo Kogge, Jaan Raik, Gert Jervan, Thomas Hollstein. 1-4 [doi]
- Detection of abandoned objects using robust subspace recovery with intrinsic video alignmentLucas A. Thomaz, Allan F. da Silva, Eduardo A. B. da Silva, Sergio L. Netto, Hamid Krim. 1-4 [doi]
- A low-voltage charge pump with improved pumping efficiencyXiaoxue Jiang, Xiaojian Yu, Jie Chen. 1-4 [doi]
- A 180-nW static power UWB IR transmitter front-end for energy harvesting applicationsTuomas Haapala, Mika Pulkkinen, Jarno Salomaa, Kari Halonen. 1-4 [doi]
- Separation and electrochemical detection platform for portable individual PM2.5 monitoringHeyu Yin, Hao Wan, Andrew J. Mason. 1-4 [doi]
- Measurement-domain intra prediction framework for compressively sensed imagesJian-Bin Zhou, Dajiang Zhou, Li Guo 0006, Takeshi Yoshimura, Satoshi Goto. 1-4 [doi]
- A 200MS/s, 11 bit SAR-assisted pipeline ADC with bias-enhanced ring amplifierYongzhen Chen, Jingjing Wang, Hang Hu, Fan Ye, Junyan Ren. 1-4 [doi]
- A 40 Gb/s 74.9 mW PAM4 receiver with novel clock and data recoveryLiangxiao Tang, Weixin Gai, Linqi Shi, Xiao-xiang. 1-4 [doi]
- Multi-band inductor-less VCO for IoT applicationsFayrouz Haddad, Imen Ghorbel, Wenceslas Rahajandraibe. 1-4 [doi]
- A robust video encoding scheme to enhance error concealment of intra framesJoão Carreira, Pedro A. Amado Assunção, S. Faria, Erhan Ekmekcioglu, Ahmet M. Kondoz. 1-4 [doi]
- Countering the false myth of democracy: Boosting compressed sensing performance with maximum-energy approachMauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 1-4 [doi]
- Energy-efficient magnetic circuits based on nanoelectronic devicesFazel Sharifi, Himanshu Thapliyal. 1-4 [doi]
- Master-slave battery charging system using parallel DC-DC converters for thermal safetyJohn Hu, Suming Lai. 1-4 [doi]
- A multi-agent based system for run-time distributed resource managementIoannis Galanis, Daniel Olsen, Iraklis Anagnostopoulos. 1-4 [doi]
- Characterization of RTN noise in the analog front-end of digital pixel imagers