Journal: IEEE Trans. VLSI Syst.

Volume 15, Issue 9

981 -- 989N. Gupta. A VLSI Architecture for Image Registration in Real Time
990 -- 1002Ajay Joshi, Gerald G. Lopez, Jeffrey A. Davis. Design and Optimization of On-Chip Interconnects Using Wave-Pipelined Multiplexed Routing
1003 -- 1016N. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk. Run-Time Integration of Reconfigurable Video Processing Systems
1017 -- 1027Jaeseo Lee, Geoff Hatcher, Lieven Vandenberghe, Chih-Kong Ken Yang. Evaluation of Fully-Integrated Switching Regulators for CMOS Process Technologies
1028 -- 1039David Kinniment, Charles E. Dike, Keith Heron, Gordon Russell, Alexandre Yakovlev. Measuring Deep Metastability and Its Effect on Synchronizer Performance
1040 -- 1050Seraj Ahmad, Rabi N. Mahapatra. An Efficient Approach to On-Chip Logic Minimization
1051 -- 1054Chao You, Jong-Ru Guo, Russell P. Kraft, Michael Chu, Bryan S. Goda, John F. McDonald. A 12-Gb/s DEMUX Implemented With SiGe High-Speed FPGA Circuits
1055 -- 1059Hiroe Iwasaki, Jiro Naganuma, Koyo Nitta, Ken Nakamura, Takeshi Yoshitome, Mitsuo Ogura, Yasuyuki Nakajima, Yutaka Tashiro, Takayuki Onishi, Mitsuo Ikeda, Toshihiro Minami, Makoto Endo, Yoshiyuki Yashima. Single-Chip MPEG-2 422P@HL CODEC LSI With Multichip Configuration for Large Scale Processing Beyond HDTV Level
1060 -- 1064Seongmoo Heo, Ronny Krashinsky, Krste Asanovic. Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy

Volume 15, Issue 8

853 -- 854. Guest Editorial System-Level Interconnect Prediction
855 -- 868Vassos Soteriou, Noel Eisley, Hangsheng Wang, Bin Li, Li-Shiuan Peh. Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks
869 -- 880Srinivasan Murali, David Atienza, Paolo Meloni, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo. Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors
881 -- 894Jongsun Kim, Ingrid Verbauwhede, M.-C. Frank Chang. Design of an Interconnect Architecture and Signaling Technology for Parallelism in Communication
895 -- 903Valavan Manohararajah, Gordon R. Chiu, Deshanand P. Singh, Stephen Dean Brown. Predicting Interconnect Delay for Physical Synthesis in a FPGA CAD Flow
904 -- 912Andrew B. Kahng, Bao Liu, Qinke Wang. Stochastic Power/Ground Supply Voltage Prediction and Optimization Via Analytical Placement
913 -- 926Xiaoji Ye, Frank Liu, Peng Li. Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models
927 -- 940Ian O Connor, Faress Tissafi-Drissi, Frédéric Gaffiot, Joni Dambre, Michiel De Wilde, Jan Van Campenhout, D. Van Thourhout, Dirk Stroobandt. Systematic Simulation-Based Predictive Synthesis of Integrated Optical Interconnect
941 -- 944Jin Guo, Antonis Papanikolaou, H. Zhang, Francky Catthoor. Energy/Area/Delay Tradeoffs in the Physical Design of On-Chip Segmented Bus Architecture
945 -- 948Wenyi Feng, Jonathan W. Greene. Post-Placement Interconnect Entropy
948 -- 951Manuel Saldaña, Lesley Shannon, Jia Shuo Yue, Sikang Bian, John Craig, Paul Chow. Routability of Network Topologies in FPGAs
952 -- 962Ray C. C. Cheung, Dong-U Lee, Wayne Luk, John D. Villasenor. Hardware Generation of Arbitrary Random Number Distributions From Uniform Distributions Via the Inversion Method
963 -- 970Ja Chun Ku, Yehea I. Ismail. Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits
971 -- 975Andreas Apostolakis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis. Functional Processor-Based Testing of Communication Peripherals in Systems-on-Chip
975 -- 980Yuan Xie, Wayne Wolf, Haris Lekatsas. Code Decompression Unit Design for VLIW Embedded Processors

Volume 15, Issue 7

733 -- 745Ka-Ming Keung, Vineela Manne, Akhilesh Tyagi. A Novel Charge Recycling Design Scheme Based on Adiabatic Charge Pump
746 -- 757Navid Azizi, Muhammad M. Khellah, Vivek De, Farid N. Najm. Variations-Aware Low-Power Design and Block Clustering With Voltage Scaling
758 -- 766Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi. Supply Switching With Ground Collapse: Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits
767 -- 776S.-P. Lin, C. L. Lee, J. E. Chen, J.-J. Chen, K.-L. Luo, W.-C. Wu. A Multilayer Data Copy Test Data Compression Scheme for Reducing Shifting-in Power for Multiple Scan Design
777 -- 789Seongmoon Wang. A BIST TPG for Low Power Dissipation and High Fault Coverage
790 -- 800Yoshiyuki Nakamura, Thomas Clouqueur, Kewal K. Saluja, Hideo Fujiwara. Diagnosing At-Speed Scan BIST Circuits Using a Low Speed and Low Memory Tester
801 -- 810Myoung-Cheol Shin, In-Cheol Park. SIMD Processor-Based Turbo Decoder Supporting Multiple Third-Generation Wireless Standards
811 -- 820Xinmiao Zhang. Further Exploring the Strength of Prediction in the Factorization of Soft-Decision Reed-Solomon Decoding
821 -- 829Tae-Hyoung Kim, John Keane, Hanyong Eom, Chris H. Kim. Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design
830 -- 841Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He. Microarchitecture Configurations and Floorplanning Co-Optimization
842 -- 846Gian-Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano. Concurrent Error Detection in Reed-Solomon Encoders and Decoders
846 -- 850Kuan-Hung Chen, Yuan-Sun Chu. A Low-Power Multiplier With the Spurious Power Suppression Technique

Volume 15, Issue 6

613 -- 623Kanak Agarwal, Rahul M. Rao, Dennis Sylvester, Richard B. Brown. Parametric Yield Analysis and Optimization in Leakage Dominated Technologies
624 -- 636Tianpei Zhang, Sachin S. Sapatnekar. Simultaneous Shield and Buffer Insertion for Crosstalk Noise Reduction in Global Routing
637 -- 648J. C. Chi, H. H. Lee, S. H. Tsai, M. C. Chi. Gate Level Multiple Supply Voltage Assignment Algorithm for Power Optimization Under Timing Constraint
649 -- 659H. Yamamoto, J. A. Davis. Decreased Effectiveness of On-Chip Decoupling Capacitance in High-Frequency Operation
660 -- 671Amit Agarwal, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy. Device-Aware Yield-Centric Dual-V::t:: Design Under Parameter Variations in Nanoscale Technologies
672 -- 683Scott C. Smith. Design of an FPGA Logic Element for Implementing Asynchronous NULL Convention Logic Circuits
684 -- 698Montek Singh, Steven M. Nowick. MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines
699 -- 710Divya Arora, Anand Raghunathan, Srivaths Ravi, Murugan Sankaradass, Niraj K. Jha, Srimat T. Chakradhar. Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC
711 -- 715Giorgos Dimitrakopoulos, Christos Mavrokefalidis, Costas Galanopoulos, Dimitris Nikolos. Sorter Based Permutation Units for Media-Enhanced Microprocessors
716 -- 720Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada. Timing-Aware Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization
720 -- 724Delong Shang, Alexandre Yakovlev, Albert Koelmans, Danil Sokolov, Alexandre V. Bystrov. Registers for Phase Difference Based Logic
725 -- 728Shih-Chang Hsia, Szu-Hong Wang. Shift-Register-Based Data Transposition for Cost-Effective Discrete Cosine Transform

Volume 15, Issue 5

493 -- 494Dimitris Gizopoulos, Robert C. Aitken, S. Kundu. Guest Editorial: Special Section on Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems
495 -- 504Todd J. Foster, Dennis L. Lastor, Padmaraj Singh. First Silicon Functional Validation and Debug of Multicore Microprocessors
505 -- 517Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao. Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores
518 -- 530Loganathan Lingappan, Niraj K. Jha. Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors
531 -- 540Yinhe Han, Yu Hu, Xiaowei Li, Huawei Li, Anshuman Chandra. Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit
541 -- 545Chih-Yen Lo, Chen-Hsing Wang, Kuo-Liang Cheng, Jing-Reng Huang, Chih-Wea Wang, Shin-Moe Wang, Cheng-Wen Wu. STEAC: A Platform for Automatic SOC Test Integration
546 -- 559Divya Arora, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha. Architectural Support for Run-Time Validation of Program Data Properties
560 -- 571Mohamed Elgebaly, Manoj Sachdev. Variation-Aware Adaptive Voltage Scaling System
572 -- 577Antonio Zenteno Ramirez, Guillermo Espinosa, Víctor H. Champac. Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops
578 -- 591Encarnación Castillo, Uwe Meyer-Bäse, Antonio García, Luis Parrilla, Antonio Lloris-Ruíz. IPP@HDL: Efficient Intellectual Property Protection Scheme for IP Cores
592 -- 604Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail. Thermal Management of On-Chip Caches Through Power Density Minimization
605 -- 609Nachiketh R. Potlapally, Srivaths Ravi, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha. Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution

Volume 15, Issue 4

377 -- 390Lesley Shannon, Paul Chow. SIMPPL: An Adaptable SoC Framework Using a Programmable Controller IP Interface to Facilitate Design Reuse
391 -- 403Tajana Simunic Rosing, Kresimir Mihic, Giovanni De Micheli. Power and Reliability Management of SoCs
404 -- 412Xiaoding Chen, Michael S. Hsiao. An Overlapping Scan Architecture for Reducing Both Test Time and Test Power by Pipelining Fault Detection
413 -- 426Siavash Bayat Sarmadi, M. Anwar Hasan. On Concurrent Detection of Errors in Polynomial Basis Multiplication
427 -- 437Jiong Luo, Niraj K. Jha, Li-Shiuan Peh. Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems
438 -- 446Eisse Mensink, Daniël Schinkel, Eric A. M. Klumperink, Ed van Tuijl, Bram Nauta. Optimal Positions of Twists in Global On-Chip Differential Interconnects
447 -- 460Florin Balasa, Hongwei Zhu, Ilie I. Luican. Computation of Storage Requirements for Multi-Dimensional Signal Processing Applications
461 -- 465Themistoklis Haniotakis, Y. Tsiatouhas, Dimitris Nikolos, Costas Efstathiou. Testable Designs of Multiple Precharged Domino Circuits
465 -- 470Nachiketh R. Potlapally, Anand Raghunathan, Srivaths Ravi, Niraj K. Jha, Ruby B. Lee. Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis
470 -- 474Z. Wang. High-Speed Recursion Architectures for MAP-Based Turbo Decoders
474 -- 478Dong-U Lee, Ray C. C. Cheung, John D. Villasenor. A Flexible Architecture for Precise Gamma Correction
478 -- 483Brandon J. Jasionowski, Michelle K. Lay, Martin Margala. A Processor-In-Memory Architecture for Multimedia Compression
483 -- 488Zhongfeng Wang, Zhiqiang Cui. A Memory Efficient Partially Parallel Decoder Architecture for Quasi-Cyclic LDPC Codes
488 -- 492Dimitrios Kagaris, Themistoklis Haniotakis. A Methodology for Transistor-Efficient Supergate Design

Volume 15, Issue 3

249 -- 261Niraj K. Jha. Editorial
262 -- 275Alexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi. Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection
276 -- 285Nikhil Jayakumar, Sunil P. Khatri. A Predictably Low-Leakage ASIC Design Style
286 -- 295Abbes Amira, Shrutisagar Chandrasekaran. Power Modeling and Efficient FPGA Implementation of FHT for Signal Processing
296 -- 308Najwa Aaraj, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha. Hybrid Architectures for Efficient and Secure Face Authentication in Embedded Systems
309 -- 318Warren J. Gross, Frank R. Kschischang, P. Glenn Gulak. Architecture and Implementation of an Interpolation Processor for Soft-Decision Reed-Solomon Decoding
319 -- 327Ada S. Y. Poon. An Energy-Efficient Reconfigurable Baseband Processor for Wireless Communications
328 -- 337Sizhong Chen, Tong Zhang, Yan Xin. Relaxed K-Best MIMO Signal Detector Design and VLSI Implementation
338 -- 345Peiyi Zhao, Jason McNeely, Pradeep Golconda, Magdy A. Bayoumi, Robert A. Barcenas, Weidong Kuang. Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop
346 -- 357Chen Shoushun, Amine Bermak. Arbitrated Time-to-First Spike CMOS Image Sensor With On-Chip Histogram Equalization
358 -- 365Wei-Zen Chen, Da-Shin Lin. A 90-dB Omega 10-Gb/s Optical Receiver Analog Front-End in a 0.18µm CMOS Technology
366 -- 376Justin Gregg, Tom W. Chen. Post Silicon Power/Performance Optimization in the Presence of Process Variations Using Individual Well-Adaptive Body Biasing

Volume 15, Issue 2

125 -- 134A. Amirabadi, Ali Afzali-Kusha, Y. Mortazavi, Mehrdad Nourani. Clock Delayed Domino Logic With Efficient Variable Threshold Voltage Keeper
135 -- 148Jonathan Rosenfeld, Eby G. Friedman. Design Methodology for Global Resonant H-Tree Clock Distribution Networks
149 -- 158Ganesh Venkataraman, Jiang Hu, Frank Liu. Integrated Placement and Skew Optimization for Rotary Clocking
159 -- 172Zhijian Lu, Wei Huang, Mircea R. Stan, Kevin Skadron, John Lach. Interconnect Lifetime Prediction for Reliability-Aware Systems
173 -- 181Richard F. Hobson. A New Single-Ended SRAM Cell With Write-Assist
182 -- 195Jason Meyer, Fatih Kocan. Sharing of SRAM Tables Among NPN-Equivalent LUTs in SRAM-Based FPGAs
196 -- 205Mohammad Sharifkhani, Manoj Sachdev. Segmented Virtual Ground Architecture for Low-Power Embedded SRAM
206 -- 215Vishal Khandelwal, Ankur Srivastava. A Quadratic Modeling-Based Framework for Accurate Statistical Timing Analysis Considering Correlations
216 -- 226John M. Emmert, Charles E. Stroud, Miron Abramovici. Online Fault Tolerance for FPGA Logic Blocks
227 -- 231Hae-Moon Seo, YeonKug Moon, Yong-Kuk Park, Dongsu Kim, Dong-Sun Kim, Youn-Sung Lee, Kwang-Ho Won, Seong-Dong Kim, Pyung Choi. A Low Power Fully CMOS Integrated RF Transceiver IC for Wireless Sensor Networks
231 -- 236Liang Zhang, J. M. Wilson, Rizwan Bashirullah, Lei Luo, Jian Xu, Paul D. Franzon. Voltage-Mode Driver Preemphasis Technique For On-Chip Global Buses
236 -- 240Jyh-Ting Lai, An-Yeu Wu, Chien-Hsiung Lee. Joint AGC-Equalization Algorithm and VLSI Architecture for Wirelined Transceiver Designs
240 -- 245Sankalp S. Kallakuri, Alex Doboli. Customization of Arbitration Policies and Buffer Space Distribution Using Continuous-Time Markov Decision Processes

Volume 15, Issue 12

1289 -- 1302Qinwei Xu, Pinaki Mazumder. Efficient Modeling of Transmission Lines With Electromagnetic Wave Coupling by Using the Finite Difference Quadrature Method
1303 -- 1310Cheng-Hung Lin, Chih-Tsun Huang, Chang-Ping Jiang, Shih-Chieh Chang. Optimization of Pattern Matching Circuits for Regular Expression on FPGA
1311 -- 1319Zhiyu Liu, Volkan Kursun. PMOS-Only Sleep Switch Dual-Threshold Voltage Domino Logic in Sub-65-nm CMOS Technologies
1320 -- 1331Hossein Asadi, Mehdi Baradaran Tahoori. Analytical Techniques for Soft Error Rate Modeling and Mitigation of FPGA-Based Designs
1332 -- 1340Ke Cao, Jiang Hu, Mosong Cheng. Wire Sizing and Spacing for Lithographic Printability and Timing Optimization
1341 -- 1350Hao-Chiao Hong. A Design-for-Digital-Testability Circuit Structure for Sigma-Delta Modulators
1351 -- 1361Yung-Chuan Jiang, Jhing-Fa Wang. Temporal Partitioning Data Flow Graphs for Dynamically Reconfigurable Computing
1362 -- 1366Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis. Speedups and Energy Reductions From Mapping DSP Applications on an Embedded Reconfigurable System

Volume 15, Issue 11

1177 -- 1190Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee. An Overview of a Compiler for Mapping Software Binaries to Hardware
1191 -- 1204Chao Huang, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha. Generation of Heterogeneous Distributed Architectures for Memory-Intensive Applications Through High-Level Synthesis
1205 -- 1214Peng Li, Zhuo Feng, Emrah Acar. Characterizing Multistage Nonlinear Drivers and Variability for Accurate Timing and Noise Analysis
1215 -- 1224H. Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka. Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating
1225 -- 1238Jun Ma, Alexander Vardy, Zhongfeng Wang. Low-Latency Factorization Architecture for Algebraic Soft-Decision Decoding of Reed-Solomon Codes
1239 -- 1244Atul Maheshwari, Wayne Burleson. Current-Sensing and Repeater Hybrid Circuit Technique for On-Chip Interconnects
1245 -- 1255Lan Rao, Michael L. Bushnell, Vishwani D. Agrawal. Graphical I::DDQ:: Signatures Reduce Defect Level and Yield Loss
1256 -- 1269Montek Singh, Steven M. Nowick. The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style
1270 -- 1283Montek Singh, Steven M. Nowick. The Design of High-Performance Dynamic Asynchronous Pipelines: High-Capacity Style
1284 -- 1287Bao Liu, Sheldon X.-D. Tan. Minimum Decoupling Capacitor Insertion in VLSI Power/Ground Supply Networks by Semidefinite and Linear Programs

Volume 15, Issue 10

1065 -- 1066Radu M. Secareanu, A. Marshall. Guest Editorial Special Section on System-on-Chip Integration: Challenges and Implications
1067 -- 1080Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li. Utilizing Redundancy for Timing Critical Interconnect
1081 -- 1090Vasilis F. Pavlidis, Eby G. Friedman. 3-D Topologies for Networks-on-Chip
1091 -- 1100Xin Wang, Tapani Ahonen, Jari Nurmi. Applying CDMA Technique to Network-on-Chip
1101 -- 1110Koichiro Noguchi, Makoto Nagata. An On-Chip Multichannel Waveform Monitor for Diagnosis of Systems-on-a-Chip Integration
1111 -- 1124Sujan Pandey, Manfred Glesner. Simultaneous On-Chip Bus Synthesis and Voltage Scaling Under Random On-Chip Data Traffic
1125 -- 1134Ryan W. Apperson, Zhiyi Yu, Michael J. Meeuwsen, Tinoosh Mohsenin, Bevan M. Baas. A Scalable Dual-Clock FIFO for Data Transfers Between Arbitrary and Haltable Clock Domains
1135 -- 1143Chao-Da Huang, Jin-Fu Li, Tsu-Wei Tseng. ProTaR: An Infrastructure IP for Repairing RAMs in System-on-Chips
1144 -- 1154Sudarshan Bahukudumbi, Krishnendu Chakrabarty. Wafer-Level Modular Testing of Core-Based SoCs
1155 -- 1159Venkat Satagopan, Bonita Bhaskaran, Waleed Al-Assadi, Scott C. Smith, Sindhu Kakarla. DFT Techniques and Automation for Asynchronous NULL Conventional Logic Circuits
1160 -- 1171Chang Hong Lin, Yuan Xie, Wayne Wolf. Code Compression for VLIW Embedded Systems Using a Self-Generating Table
1172 -- 1176Jie Jin, Chi-Ying Tsui. Low-Power Limited-Search Parallel State Viterbi Decoder Implementation Based on Scarce State Transition

Volume 15, Issue 1

5 -- 12Zhengtao Yu, Xun Liu. Low-Power Rotary Clock Array Design
13 -- 23Yen-Jen Chang, Maofeng Lan. Two New Techniques Integrated for Energy-Efficient TLB Design
24 -- 36Pallav Gupta, Niraj K. Jha, Loganathan Lingappan. A Test Generation Framework for Quantum Cellular Automata Circuits
37 -- 47Erkan Acar, Sule Ozev. Go/No-Go Testing of VCO Modulation RF Transceivers Through the Delayed-RF Setup
48 -- 59Dharmendra Saraswat, Ramachandra Achar, Michel S. Nakhla. Fast Passivity Verification and Enforcement via Reciprocal Systems for Interconnects With Large Order Macromodels
60 -- 68Dipanjan Gope, Albert E. Ruehli, Vikram Jandhyala. Speeding Up PEEC Partial Inductance Computations Using a QR-Based Algorithm
69 -- 79Ruibing Lu, Aiqun Cao, Cheng-Kok Koh. SAMBA-Bus: A High Performance Bus Architecture for System-on-Chips
80 -- 89Afshin Abdollahi, Farzan Fallah, Massoud Pedram. A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design
90 -- 103Ye Li, Bertan Bakkaloglu, Chaitali Chakrabarti. A System Level Energy Model and Energy-Quality Evaluation for Integrated Transceiver Front-Ends
104 -- 114Zhongfeng Wang, Zhiqiang Cui. Low-Complexity High-Speed Decoder Design for Quasi-Cyclic LDPC Codes
115 -- 118K. Scott Hemmert, Keith D. Underwood. Floating-Point Divider Design for FPGAs
118 -- 123P. Rajesh Kumar, K. Sridharan. VLSI-Efficient Scheme and FPGA Realization for Robotic Mapping in a Dynamic Environment