Journal: IEEE Trans. VLSI Syst.

Volume 6, Issue 4

518 -- 519Anantha Chandrakasan, Edwin Hsing-Mean Sha. Special Section on Low-Power Electronics and Design
520 -- 528Qing Wu, Qinru Qiu, Massoud Pedram, Chih-Shun Ding. Cycle-accurate macro-models for RT-level power analysis
529 -- 537Sven Wuytack, Jean-Philippe Diguet, Francky Catthoor, Hugo De Man. Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings
538 -- 545P. Pant, V. K. De, A. Chatterjee. Simultaneous power supply, threshold voltage, and transistor size optimization for low-power operation of CMOS circuits
546 -- 553Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh. Low-power realization of FIR filters on programmable DSPs
554 -- 562Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Stefano Quer. Power optimization of core-based systems by address bus encoding
563 -- 567Maitham Shams, Jo C. Ebergen, Mohamed I. Elmasry. Modeling and comparing CMOS implementations of the C-element
568 -- 572Enric Musoll, Tomás Lang, Jordi Cortadella. Working-zone encoding for reducing the energy in microprocessor address buses
573 -- 577Dinesh Somasekhar, Kaushik Roy. LVDCSL: a high fan-in, high-performance, low-voltage differential current switch logic family
578 -- 581Alessandro Bogliolo, Luca Benini. Robust RTL power macromodels
582 -- 594K. Ito, Lori E. Lucke, Keshab K. Parhi. ILP-based cost-optimal DSP synthesis with module selection and data format conversion
595 -- 607Tracy C. Denk, Keshab K. Parhi. Synthesis of folded pipelined architectures for multirate DSP algorithms
608 -- 619Sandeep Bhatia, Niraj K. Jha. Integration of hierarchical test generation with behavioral synthesis of controller and data path circuits
620 -- 624Jacob Savir. Redundancy revisited
625 -- 633Yanbin Jiang, Sachin S. Sapatnekar, Cyrus Bamji, Juho Kim. Interleaving buffer insertion and transistor sizing into a single optimization
634 -- 642Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen, Hsien-Ho Chuang. On circuit clustering for area/delay tradeoff under capacity and pin constraints
643 -- 655Kenneth Y. Yun, Peter A. Beerel, Vida Vakilotojar, Ayoob E. Dooply, Julio Arceo. The design and verification of a high-performance low-control-overhead asynchronous differential equation solver
656 -- 666N. R. Shnidman, William H. Mangione-Smith, Miodrag Potkonjak. On-line fault detection for bus-based field programmable gate arrays
667 -- 676Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik. Efficient test-point selection for scan-based BIST
677 -- 686Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man. High-level address optimization and synthesis techniques for data-transfer-intensive applications
687 -- 696Kiyoshi Kobayashi, Shuji Kubota, Kiyoshi Enomoto, K. Seki, K. Kawazoe, Tetsu Sakata, Y. Matsumoto, T. Hattori. Low-power and high-quality signal transmission baseband LSIC for personal communications
697 -- 706Jongwoo Bae, Viktor K. Prasanna. Synthesis of area-efficient and high-throughput rate data format converters
707 -- 718An-Yeu Wu, K. J. Ray Liu. Algorithm-based low-power transform coding architectures: the multirate approach
719 -- 730Nelson L. Passos, Edwin Hsing-Mean Sha. Scheduling of uniform multidimensional systems under resource constraints
731 -- 740Dave Johnson, Venkatesh Akella, Bret Stott. Micropipelined asynchronous discrete cosine transform (DCT/IDCT) processor

Volume 6, Issue 3

346 -- 353V. K. Jain, S. Horiguchi. VLSI considerations for TESH: a new hierarchical interconnection network for 3-D integration
354 -- 363Christian Legl, Bernd Wurth, Klaus Eckl. Computing support-minimal subfunctions during functional decomposition
364 -- 371Kang-Ngee Chia, Hea Joung Kim, S. Lansing, William H. Mangione-Smith, J. Villasensor. High-performance automatic target recognition through data-specific VLSI
372 -- 386O. Kibar, Philippe J. Marchand, Sadik C. Esener. High-speed CMOS switch designs for free-space optoelectronic MIN s
387 -- 399Daniel Mange, Eduardo Sanchez, André Stauffer, Gianluca Tempesti, Pierre Marchal, Christian Piguet. Embryonics: a new methodology for designing field-programmable gate arrays with self-repair and self-replicating properties
400 -- 408Scott Hauck, Gaetano Borriello, Carl Ebeling. Mesh routing topologies for multi-FPGA systems
409 -- 419Karim Arabi, Bozena Kaminska, Mohamad Sawan. On chip testing data converters using static parameters
420 -- 431Rajagopalan Srinivasan, Sandeep K. Gupta, Melvin A. Breuer. Bounds on pseudoexhaustive test lengths
432 -- 444Irith Pomeranz, Sudhakar M. Reddy. On methods to match a test pattern generator to a circuit-under-test
445 -- 456Vaughn Betz, Jonathan Rose. Effect of the prefabricated routing track distribution on FPGA area-efficiency
457 -- 463Rohini Gupta, John Willis, Lawrence T. Pileggi. Analytic termination metrics for pin-to-pin lossy transmission lines with nonlinear drivers
464 -- 474Wayne P. Burleson, Maciej J. Ciesielski, Fabian Klass, W. Liu. Wave-pipelining: a tutorial and research survey
475 -- 483Bongjin Jung, Wayne P. Burleson. Efficient VLSI for Lempel-Ziv compression in wireless data communication networks
484 -- 492Zhanping Chen, Kaushik Roy, Tan-Li Chou. Efficient statistical approach to estimate power considering uncertain properties of primary inputs
493 -- 497Marco Winzker. Low-power arithmetic for the processing of video signals
498 -- 501Jianmin Li, Chung-Kuan Cheng. Routability improvement using dynamic interconnect architecture
502 -- 506Franco Fummi, Donatella Sciuto, Cristina Silvano. Automatic generation of error control codes for computer applications
507 -- 511Cesare Alippi, Franco Fummi, Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto. Testability analysis and behavioral testing of the Hopfield neural paradigm

Volume 6, Issue 2

188 -- 198David M. Lewis, David R. Galloway, Marcus van Ierssel, Jonathan Rose, Paul Chow. The Transmogrifier-2: a 1 million gate rapid-prototyping system
199 -- 211Akihiro Tsutsui, Toshiaki Miyazaki. ANT-on-YARDS: FPGA/MPU hybrid architecture for telecommunication data processing
212 -- 221John Lach, William H. Mangione-Smith, Miodrag Potkonjak. Low overhead fault-tolerant FPGA systems
222 -- 231R. Glenn Wood, Rob A. Rutenbar. FPGA routing and routability estimation via Boolean satisfiability
232 -- 237Eduardo I. Boemo, Sergio López-Buedo, Juan M. Meneses. Some experiments about wave pipelining on FPGA s
238 -- 246Brian Von Herzen. Signal processing at 250 MHz using high-performance FPGA s
247 -- 256Michael J. Wirthlin, Brad L. Hutchings. Improving functional density using run-time circuit reconfiguration [FPGAs]
257 -- 265Moritoshi Yasunaga, I. Hachiya, K. Moki, Jung Hwan Kim. Fault-tolerant self-organizing map implemented by wafer-scale integration
266 -- 275William Fornaciari, P. Gubian, Donatella Sciuto, Cristina Silvano. Power estimation of embedded systems: a hardware/software codesign approach
276 -- 283Wei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi. Testing configurable LUT-based FPGA s
284 -- 291Klaus Herrmann, Jan Otterstedt, H. Jeschke, M. Kuboschek. A MIMD-based video signal processing architecture suitable for large area integration and a 16.6-cm:::2::: monolithic implementation
292 -- 298Luca Breveglieri, Luigi Dadda. A VLSI inner product macrocell
299 -- 308Uming Ko, Poras T. Balsara, Ashwini K. Nanda. Energy optimization of multilevel cache architectures for RISC and CISC processors
309 -- 313Krishnendu Chakrabarty, John P. Hayes. Zero-aliasing space compaction of test responses using multiple parity signatures
314 -- 322Lei Wang, José Pineda de Gyvez, Edgar Sánchez-Sinencio. Time multiplexed color image processing based on a CNN with cell-state outputs
323 -- 331S. Bose, P. Agrawal, V. D. Agrawal. A rated-clock test method for path delay faults
332 -- 342S. Bose, P. Agrawal. Concurrent fault simulation on message passing multicomputers

Volume 6, Issue 1

4 -- 5Pinaki Mazumder. Guest Editorial Special Section On Impacts Of Emerging Technologies On VLSI Systems
6 -- 17Andreas Thiede, Zhi-Gong Wang, Michael Schlechtweg, M. Lang, P. Leber, Zhihao Lao, Ulrich Nowotny, V. Hurm, M. Rieger-Motzer, M. Ludwig, M. Sedler, K. Kohler, W. Bronner, J. Hornung, A. Hulsmann, G. Kaufel, B. Raynor, J. Schneider, T. Jakobus, J. Schroth, Manfred Berroth. Mixed signal integrated circuits based on GaAs HEMTs
18 -- 30Roberto Sarmiento, V. de Armas, José Francisco López, Juan A. Montiel-Nelson, Antonio Núñez. A CORDIC processor for FFT computation and its implementation using gallium arsenide technology
31 -- 38Terry J. Fountain, Michael J. B. Duff, D. G. Crawley, C. D. Tomlinson, C. D. Moffat. The use of nanoelectronic devices in highly parallel computing systems
39 -- 42H. Okazaki, T. Nakagawa, M. Muraguchi, H. Fukuyama, K. Maezawa, Masafumi Yamamoto. Sampling phase detector using a resonant tunneling high electron mobility transistor for microwave phase-locked oscillators
43 -- 46M. Fujii, K. Numata, T. Maeda, M. Tokushima, S. Wada, M. Fukaishi, M. Ishikawa. A 150 mW 8: 1 MUX and a 170 mW 1: 8 DEMUX for 2.4 gb/s optical-fiber communication systems using n-AlGaAs/i-InGaAs HJFET s
47 -- 51Richard B. Brown, Bruce Bernhardt, M. LaMacchia, J. Abrokwah, Phiroze N. Parakh, Todd D. Basso, Spencer M. Gold, S. Stetson, Claude R. Gauthier, D. Foster, B. Crawforth, T. McQuire, Karem A. Sakallah, Ronald J. Lomax, Trevor N. Mudge. Overview of complementary GaAs technology for high-speed VLSI circuits
52 -- 55Pete M. Campbell, Hans J. Greub, Atul Garg, A. Steidl, Steven R. Carlough, Matthew W. Ernest, Robert F. Philhower, Cliff A. Maier, Russell P. Kraft, John F. McDonald. A very wide bandwidth digital VCO using quadrature frequency multiplication and division implemented in AlGaAs/GaAs HBT s
56 -- 65Luis A. Plana, Steven M. Nowick. Architectural optimization for low-power nonpipelined asynchronous systems
65 -- 73Li-Pen Yuan, Chin-Chi Teng, Sung-Mo Kang. Statistical estimation of average power dissipation using nonparametric techniques
74 -- 83Naresh Maheshwari, Sachin S. Sapatnekar. Efficient retiming of large circuits
84 -- 100Daniel D. Gajski, Frank Vahid, Sanjiv Narayan, Jie Gong. SpecSyn: an environment supporting the specify-explore-refine paradigm for hardware/software system design
101 -- 113S. K. Jain, Leilei Song, Keshab K. Parhi. Efficient semisystolic architectures for finite-field arithmetic
114 -- 121M. Aberbour, A. Houelle, Habib Mehrez, N. Vaucher, Alain Guyot. On portable macrocell FPU generators for division and square root operators complying to the full IEEE-754 standard
122 -- 133Bapiraju Vinnakota, Jason Andrews. Fast fault translation
134 -- 140Chuan-Yu Wang, Kaushik Roy. Maximum power estimation for CMOS circuits using deterministic and statistical approaches
141 -- 149Stuart F. Oberman, Michael J. Flynn. Minimizing the complexity of SRT tables
150 -- 157L. K. John, E. John. A dynamically reconfigurable interconnect for array processors
158 -- 167Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey. Behavioral-level synthesis of heterogeneous BISR reconfigurable ASIC s
168 -- 172Arvind Srinivasan, G. D. Huber, David P. LaPotin. Accurate area and delay estimation from RTL descriptions
173 -- 176Elisardo Antelo, Montserrat Bóo, Javier D. Bruguera, Emilio L. Zapata. A novel design of a two operand normalization circuit
176 -- 180Paul G. Ryan, W. Kent Fuchs. Dynamic fault dictionaries and two-stage fault isolation