Abstract is missing.
- Versatile high input impedance voltage-mode three-inputs universal biquadratic filterWei-Yuan Chiu, Jiun-Wei Horng, Zhao-Ren Wang. 1-4 [doi]
- Technical program co-chairs' messageNam Ling, Gerald E. Sobelman, P. Raveendran, Pau-Choo Chung. 1 [doi]
- Welcome messageSudhanshu Shekhar Jamuar. 1 [doi]
- A tunable transconductor with high linearityVijaya Bhadauria, Krishna Kant, Swapna Banerjee. 5-8 [doi]
- An unconditionally stable Voltage RegulatorPaulo César Crepaldi, Tales Cleber Pimenta, Robson L. Moreno, Edgard C. Rodriguez. 9-12 [doi]
- A new offset cancelled latch comparator for high-speed, low-power ADCsKhosrov Dabbagh-Sadeghipour. 13-16 [doi]
- Low power chopper amplifier without LPFXiao Yang, Yang Zhang, Wei-wei Huang, Chao-dong Ling. 17-19 [doi]
- FPGA-based architectures of finite radon transform for medical image de-noisingAfandi Ahmad, Abbes Amira, Hassan Rabah, Yves Berviller. 20-23 [doi]
- Design and development of a low cost EMG signal acquisition system using surface EMG electrodeTarn S. Poo, Kenneth Sundaraj. 24-27 [doi]
- A low-power remotely-programmable MCU for implantable medical devicesXiaoyu Zhang, Hanjun Jiang, Binjie Zhu, Xinkai Chen, Chun Zhang, Zhihua Wang. 28-31 [doi]
- A wireless energy link for endoscopy with end-fire helix emitter and Load-Adaptive power converterTianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Xiaomeng Li, Zhihua Wang. 32-35 [doi]
- A 77 nW bioamplifier with a tunable bandwidth for neural recording systemsIman Abaspur Kazerouni, Hadi Goodarzi Dehrizi, Sayed Mohammad Mostafavi Isfahani, Zhuo Zou, Majid Baghaei Nejad, Li-Rong Zheng. 36-39 [doi]
- Combining unspecified test data bit filling methods and run length based codes to estimate compression, power and area overheadUsha Sandeep Mehta, Kankar S. Dasgupta, Niranjan M. Devashrayee. 40-43 [doi]
- ADC linearity test signal generation algorithmSatoshi Uemori, Takahiro J. Yamaguchi, Satoshi Ito, Yohei Tan, Haruo Kobayashi, Nobukazu Takai, Kiichi Niitsu, Nobuyoshi Ishikawa. 44-47 [doi]
- A design platform for analog device size sensitivity analysis and visualizationDiming Ma, Guoyong Shi, Alex Lee. 48-51 [doi]
- Jitter generation and capture using phase-domain sigma-delta encodingSadok Aouini, Kun Chuai, Gordon W. Roberts. 52-55 [doi]
- Built-in self-test/repair scheme for TSV-based three-dimensional integrated circuitsHung-Yen Huang, Yu-Sheng Huang, Chun-Lung Hsu. 56-59 [doi]
- A 4.8-Gb/s mixed-mode CMOS QPSK demodulator for 60-GHz wireless personal area networksDuho Kim, Minsu Ko, Kwang-Chun Choi, Woo-Young Choi. 60-63 [doi]
- Design of high linearity low flicker noise 5.2 GHz down-conversion mixer for direct conversion receiverRamesh K. Pokharel, Youichi Yano, Mahmoud A. Abdelghany, Haruichi Kanaya, Keiji Yoshida. 64-67 [doi]
- A low flicker noise, highly linear, direct conversion receiver for 5GHz wireless LANMahmoud A. Abdelghany, Ramesh K. Pokharel, Haruichi Kanaya, Keiji Yoshida. 68-71 [doi]
- An electrically small meander line antenna for wireless applicationsAtif Jamil, Mohd Zuki Yusoff, Noorhana Yahya. 72-75 [doi]
- A 47-dB linear CMOS variable gain amplifier using current squaring techniqueXin Cheng, Haigang Yang, Tongqiang Gao, Fei Liu. 76-79 [doi]
- Introduction of a pseudo-6th ISDN splitter with bandstop topologyHerbert De Pauw, Jan Doutreloigne, André Van Calster, Edmond Op de Beeck, Jurgen Content. 80-83 [doi]
- Design architecture of generic DFT/DCT 1D and 2D engine controlled by SW instructionsHanan M. Hassan, Ahmed F. Shalash, Hisham M. Hamed. 84-87 [doi]
- An overview of vertical handoff decision policies for next generation wireless networksSafdar Rizvi, Asif Aziz, Naufal M. Saad. 88-91 [doi]
- A comparative analysis of integration schemes for UMTS and WLAN networksSafdar Rizvi, Asif Aziz, Naufal M. Saad, Brahim Belhaouari Samir. 92-95 [doi]
- Vehicle tracking in multi- sensor networks by fusing data in particle filter frameworkHamideh Rezaee, Ali Aghagolzadeh, Hadi Seyedarabi. 96-99 [doi]
- Multi-stage lattice-reduction-aided MIMO detector using reverse-order LLL algorithmChun-Fu Liao, Li-Wei Chai, Po-Lin Chiu, Yuan-Hao Huang. 100-103 [doi]
- Mitigation of GPS multipath error using recursive least squares adaptive filteringKamatham Yedukondalu, A. D. Sarma, Ashwani Kumar. 104-107 [doi]
- Computation sharing multiplier using redundant binary arithmeticR. S. N. Kumar Kattamuri, S. K. Sahoo. 108-111 [doi]
- An all-digital de-skew clock generator for arbitrary wide range delayKevin Fong, Yu-Cheng Hung, Zuow-Zun Chen, Tai-Cheng Lee. 112-115 [doi]
- Fusion methods for boosting performance of speaker identification systemsGregory Ditzler, James Ethridge, Ravi P. Ramachandran, Robi Polikar. 116-119 [doi]
- A moving vehicle segmentation method based on clustering of feature points for tracking at urban intersectionYuexian Zou, He Zhao, Hang Shi, Yiyan Wang. 120-123 [doi]
- A dynamic search range algorithm for H.264/AVC full-search motion estimationYuan-Teng Chang, Wen-Hao Chung. 124-127 [doi]
- A subspace approach for restoring image corrupted by white noiseNorashikin Yahya, Nidal S. Kamel, Aamir Saeed Malik. 128-131 [doi]
- Optimal discrete wavelet transform (DWT) features for face recognitionPaul Raymond Nicholl, Afandi Ahmad, Abbes Amira. 132-135 [doi]
- Motion estimation based on iterative color matching and structure matchingZhu Li, Yoichi Tomioka, Hitoshi Kitazawa. 136-139 [doi]
- A novel predictor coefficient interpolation algorithm for enhancement of spatial resolution of imagesVinit Jakhetiya, Sunil Prasad Jaiswal, Anil Kumar Tiwari. 140-143 [doi]
- A fast and low-cost fractional motion estimation for H.264/AVC HD1080p codingYuan-Teng Chang, Wen-Hao Chung. 144-147 [doi]
- Lossless interpolation optimization for H.264 decoderCi Wang, Ji Li, Yuwen He. 148-151 [doi]
- Human tracking system for automatic video surveillance with particle filtersAxel Beaugendre, Hiroyoshi Miyano, Eiki Ishidera, Satoshi Goto. 152-155 [doi]
- Building a real-time multiple H.264 video streaming system based on intel IPPVishnu Monn Baskaran, YeongSheng Low, KokSheik Wong. 156-159 [doi]
- A design of bandwidth adaptive multimedia gateway for scalable video codingYi-Mao Hsiao, Su-Wei Yeh, Jai-Shiarng Chen, Yuan-Sun Chu. 160-163 [doi]
- Audio mixer with Automatic Gain Controller for software based Multipoint Control UnitVishnu Monn Baskaran, KokSheik Wong. 164-167 [doi]
- Design of an EEPROM in RFID tag: Employing mapped EPC and IPv6 addressLabonnah F. Rahman, Mamun Bin Ibne Reaz, Mohd. Alauddin Mohd. Ali, Masaru Kamada. 168-171 [doi]
- Design of ultra low power stream data receiver based on UHF passive RFID tag systemSeokjoong Hwang, Seon Wook Kim, Joon Goo Lee, Dongha Jung, Areum Kim. 172-175 [doi]
- Development of active RFID system using zigbee standard with non beacon modeM. A. Shahimi, Zaini Abdul Halim, Widad Ismail. 176-179 [doi]
- Drawing on the benefits of RFID and bluetooth technologiesAssim Sagahyroon, Mohammed Eqbal, Farshad Khamisi. 180-183 [doi]
- A novel 6.5 pJ/pulse impulse radio pulse generator for RFID tagsKin Keung Lee, Malihe Zarre Dooghabadi, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande. 184-187 [doi]
- Design issues and optimization in DisplayPort link layer implementationJaegeun Oh, Seon Wook Kim, Taejin Kim. 188-191 [doi]
- A precision low-power mismatch-compensated sample-and-hold circuit for biomedical applicationsS. L. Mah, P. K. Chan, Shiv Kumar Mishra. 192-195 [doi]
- Non-binary SAR ADC with digital error correction for low power applicationsTomohiko Ogawa, Tatsuji Matsuura, Haruo Kobayashi, Nobukazu Takai, Masao Hotta, Hao San, Akira Abe, Katsuyoshi Yagi, Toshihiko Mori. 196-199 [doi]
- Background calibration algorithm for pipelined ADC with open-loop residue amplifier using split ADC structureTakuya Yagi, Kunihiko Usui, Tatsuji Matsuura, Satoshi Uemori, Yohei Tan, Satoshi Ito, Haruo Kobayashi. 200-203 [doi]
- Low power, variable resolution pipelined analog to Digital converter with sub flash architectureMahesh Kumar Adimulam, Krishna Kumar Movva, Sreehari Veeramachaneni, N. Moorthy Muthukrishnan, Mandalika B. Srinivas. 204-207 [doi]
- An efficient DAC and interstage gain error calibration technique for multi-bit pipelined ADCsLi Ding, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. 208-211 [doi]
- Optimizing APT product in MBFA topologiesPrashant Garg, Neeraj Chasta, Mohit Maheshwari, Dipankar Nagchoudhuri. 212-215 [doi]
- High-speed low-power Single-Stage latched-comparator with improved gain and kickback noise rejectionSarang Kazeminia, Morteza Mousazadeh, Khayrollah Hadidi, Abdollah Khoei. 216-219 [doi]
- A 25MHz sign and magnitude converter for analog current mode iterative decodersMing-Yam Lo, Wing-Hung Ki, Wai Ho Mow. 220-223 [doi]
- Current-mode analog CMOS Fuzzy Logic ControllerMohammad Soleimani, Abdollah Khoei, Khayrollah Hadidi. 224-227 [doi]
- A highly linear open-loop high-speed CMOS sample-and-holdMorteza Mousazadeh, Khayrollah Hadidi, Abdollah Khoei. 228-231 [doi]
- 0.5 V multi-phase digital controlled oscillator with smooth phase transition circuitAbul Hasan Johari, Satoshi Kumaki, Takeshi Matsubara, Isamu Hayashi, Hiroki Ishikuro. 232-235 [doi]
- An ultra-low power multi-tunable triangle wave generator with frequency and amplitude controlSayed Mohammad Mostafavi Isfahani, Iman Abaspur Kazerouni, Zhuo Zou, Majid Baghaei Nejad, Li-Rong Zheng. 236-239 [doi]
- Current Sensing Completion Detection for high speed and area efficient arithmeticBalapradeep Gadamsetti, Adit D. Singh. 240-243 [doi]
- Low-noise amplifier path for ultrasound system applicationsJayang Yoon, Seok Lee, Jaehoon Kim, Namjin Song, Jinseok Koh, Joongho Choi. 244-247 [doi]
- CMRR enhancement technique for IA using three IAs for bio-medical sensor applicationsWoojae Lee, Min-Chang Cho, SeongHwan Cho. 248-251 [doi]
- Characterization of endothelial cells using electrochemical impedance spectroscopyFei Liu, S. M. Arifuzzaman, Anis Nurashikin Nordin, David Spray, Ioana Voiculescu. 252-255 [doi]
- Testing techniques for resistive-open defects in future CMOS technologiesMohammad Fawaz, Nader Kobrosli, Ali Chehab, Ayman I. Kayssi. 256-259 [doi]
- A 9T subthreshold SRAM bitcell with data-independent bitline leakage for improved bitline swing and variation toleranceQi Li, Tony T. Kim. 260-263 [doi]
- RedSOCs-3D: Thermal-safe test scheduling for 3D-stacked SOCFawnizu Azmadi Hussin, Thomas Edison Yu, Tomokazu Yoneda, Hideo Fujiwara. 264-267 [doi]
- Non-preemptive test scheduling for Network-on-Chip(NoC) based systems by reusing NoC as TAMGoutam Mali, Suman Das, Hafizur Rahaman, Chandan Giri. 268-271 [doi]
- A 120dB all CMOS variable gain amplifier based on new exponential equationFarhad Sheikhhosseini, Abdolreza Nabavi. 272-275 [doi]
- The transformer coupled mm-Wave CMOS Power AmplifierKi-Jin Kim, T. H. Lim, K. H. Ahn. 276-279 [doi]
- A concurrent low-area dual band 0.9/2.4 GHz LNA in 0.13µm RF CMOS technology for multi-band wireless receiverSambit Datta, Kunal Datta, Ashudeb Dutta, Tarun Kanti Bhattacharyya. 280-283 [doi]
- Design and simulation of a lumped element metal finger capacitor for RF-CMOS power splittersM. Jasim Uddin, Anis Nurashikin Nordin, Muhammad I. Ibrahimy, Mamun Bin Ibne Reaz. 284-287 [doi]
- Transformer based front-end for a low power 2.4 GHz transceiverJens Masuch, Manuel Delgado-Restituto, Ángel Rodríguez-Vázquez. 288-291 [doi]
- Design and analysis of the Current Reuse Technique and Folded Cascode Power Constrained Simultaneous Noise and Input Matching LNAs with distributed and lumped parasiticNorlaili M. Noh, Awatif Hashim, Kean Yeong Tan, Yong Yeap Tan. 292-295 [doi]
- Controlled placement and routing techniques to improve timing balance of WDDL designs in Mesh-based FPGAEmna Amouri, Zied Marrakchi, Habib Mehrez. 296-299 [doi]
- A SystemC content addressable memory power estimation tool for early design verificationI-Jui Tung, Kam-Tou Sio, Chin-Hung Peng, Feipei Lai. 300-303 [doi]
- CAM puzzle: A power model and function-based circuit segment method of Content Addressable MemoryKam-Tou Sio, Feipei Lai, Chin-Hung Peng. 304-307 [doi]
- On the optimization of FPGA area depending on target applicationsZied Marrakchi, Husain Parvez, Alp Kilic, Habib Mehrez, Hmaied Marrakchi. 308-311 [doi]
- Achieving near-MLD performance with soft information-set decoders implemented in FPGAsAntonio Gortan, Ricardo P. Jasinski, Walter Godoy Jr., Volnei A. Pedroni. 312-315 [doi]
- A high speed and low power 4∶1 multiplexer with cascoded clock controlJin Hyoung Park, Ji-Seop Song, Shin-Il Lim, Suki Kim. 316-319 [doi]
- A PCI166-compatible 3×VDD-tolerant mixed-voltage I/O bufferRon-Chi Kuo, Hsiao-Han Hou, Chua-Chin Wang. 320-323 [doi]
- Low power level shifter and combined with logic gatesKo-Chi Kuo, Sheng-Quane Chen. 324-327 [doi]
- Ambiguity function of non-stationary signals using wavelet transformReza Kayvan Shokouh, Mohammad Alaee, Majid Okhovvat, Reza Amiri. 328-331 [doi]
- High accuracy binary logarithmic conversion using range mapping for DSP applicationsJoshua Yung Lih Low, Ching-Chuen Jong. 332-335 [doi]
- Complexity reduction algorithm for region-of-interest based H.264 encodingTianruo Zhang, Minghui Wang, Chen Liu, Satoshi Goto. 336-339 [doi]
- Image-based compression, prioritized transmission and progressive rendering of circular light fields (CLFS) for ancient Chinese artifactsX. Z. Yao, S. C. Chan, Z. Y. Zhu, K. T. Ng, Heung-Yeung Shum. 340-343 [doi]
- Gait recognition using occluded dataWan Noorshahida Mohd Isa, Md. Jahangir Alam, Chikkanan Eswaran. 344-347 [doi]
- Connected-component stereo aggregationOon-Ee Ng, Velappa Ganapathy, S. G. Ponnambalam. 348-351 [doi]
- SDR structure based CFO estimation and compensation circuit for OFDM systems using reconfigurable CORDIC FPGA modulesJeich Mar, Chi-Cheng Kuo, Shih-Hao Chou. 352-355 [doi]
- A CMOS Synthesizer using a new scheme of injection locking of VCOsHassan Sepehrian, Masoud Rezaei, Sasan Naseh. 356-358 [doi]
- Ultrasonic and infrared repelling device for controlling the population of rat in paddy fieldMuhammad Assaqafi Mohd Fisol, Warsuzarina Mat Jubadi. 359-361 [doi]
- IQ imbalance compensation: A semi-blind method for OFDM systems in fast fading channelsLanlan He, Shaodan Ma, Yik-Chung Wu, Tung-Sang Ng. 362-365 [doi]
- Channel estimation and detection for multibeam satellite communicationsHelmi Chaouech, Ridha Bouallegue. 366-369 [doi]
- Development of low power DAC with pseudo Fibonacci sequenceRyota Kubokawa, Takashi Ohshima, Abhishek Tomar, Ramesh K. Pokharel, Haruichi Kanaya, Keiji Yoshida. 370-373 [doi]
- SAR ADC that is configurable to optimize yieldTomohiko Ogawa, Haruo Kobayashi, Yohei Tan, Satoshi Ito, Satoshi Uemori, Nobukazu Takai, Kiichi Niitsu, Takahiro J. Yamaguchi, Tatsuji Matsuura, Nobuyoshi Ishikawa. 374-377 [doi]
- A 10-bit 1.25GSample/s partially-segmented D/A Converter for Ultra Wide-Band communication systemSoon-Ik Cho, Shin-Il Lim, Suki Kim. 378-381 [doi]
- A fast bootstrapped switch for high-speed high-resolution A/D converterGuanzhong Huang, Pingfen Lin. 382-385 [doi]
- Weighted Capacitor Digital-to-Analog Converter adopting extensive charge sharing schemeTaeho Lim, K. J. Kim, K. H. Ahn, J. S. Kim. 386-389 [doi]
- Highly optimized intra prediction architecture for high resolution applicationJinha Choi, Jeyun Yu, Jaeseok Kim. 390-393 [doi]
- High-performance inverse transform circuit based on butterfly architecture for H.264 high profile decoderHoyoung Chang, Kyeongsoon Cho. 394-397 [doi]
- Sub-block combination fractional motion estimation algorithms for H.264/AVCHee Kwan Eun, Shin Wang Ho, Myung Hoon Sunwoo. 398-401 [doi]
- Multi-view video prediction architecture using faster prediction orderMinsu Choi, Jinsang Kim, Won-Kyung Cho. 402-405 [doi]
- Implementation of lane detection system using optimized hough transform circuitSeonyoung Lee, Haengseon Son, Kyungwon Min. 406-409 [doi]
- Adaptive search order determination for effective early termination in the N-step search algorithmHyeon-Cheol Yang, Seongsoo Lee. 410-413 [doi]
- Reduced-latency scheduling scheme for min-max non-binary LDPC decodingXinmiao Zhang, Fang Cai. 414-417 [doi]
- Performance evaluation of OFDM de-modulator with various multiplier architectures for UWB systemPui-wai Chan, Chiu-sing Choy. 418-421 [doi]
- Sparse LMS with segment zero attractors for adaptive estimation of sparse signalsJie Yang, Gerald E. Sobelman. 422-425 [doi]
- Memory size reduction for LDPC layered decodersShuang Zhao, Xiaofang Zhou, Fanglong Ying, Gerald E. Sobelman. 426-429 [doi]
- Design of turbo decoder based on Min-Sum decoding algorithm of LDPC codePengjun Wang, Fanglong Yi. 430-433 [doi]
- Novel and flexible Complex Coefficient Linear phase IIR filters for communicationsXiaofang Zhou, Jinyuan Zhou, Linkai Wang, Chao Lu, Gerald E. Sobelman. 434-437 [doi]
- A wireless front-end for implantable cardiac micro-stimulatorShuenn-Yuh Lee, Chung-Min Yang, Cheng-Han Hsieh, John Q. Fang. 438-441 [doi]
- Embedded USB homecare internet systemYung-Hoh Sheu, Yu-Ping Dai, Duen-Shiang Fu. 442-445 [doi]
- A neuromorphic microsystem on glass for monitoring fly behaviours automaticallyChia-Fu Chang, Yung-Chan Chen, Hsin Chen. 446-449 [doi]
- Treatment effect of acupuncture and electric stimulation on chronic constipation patientsChien-Yue Chen, Jin-Run Chen, Min-Da Ke, Chien-Hsun Huang, Ya-Hsin Hsueh, Cheng-Deng Kuo. 450-452 [doi]
- The study of effects of acupuncture at SJ9 (Sidu) point on heart rate variabilityChien-Yue Chen, Min-Da Ke, Ching-Huang Lin, Hsien-Lin Tsai, Cheng-Deng Kuo. 453-455 [doi]
- Design of a health management system with physiological signal acquisitionWen-Fong Wang, Nan-Tong Wang, Sheng-Jia Shih. 456-459 [doi]
- Single inductor DC-DC converter with bipolar outputs using charge pumpKenji Takahashi, Hajime Yokoo, Shyunsuke Miwa, Kengo Tsushida, Hiroyuki Iwase, Kazuki Murakami, Nobukazu Takai, Haruo Kobayashi, Takahiro Odaguchi, Shigeki Takayama, Isao Fukai, Jun-ichi Matsuda. 460-463 [doi]
- Accurate, high-speed simulation of transient response and frequency characteristics of switching convertersShoko Sugimoto, Masahiro Suzuki, Yasuhiro Sugimoto. 464-467 [doi]
- nd-order boundary controller for buck converter with fast transient responseMan Pun Chan, Philip K. T. Mok. 468-471 [doi]
- An output-capacitor-free cascode low-dropout regulator with low quiescent current and high power supply rejectionChenchang Zhan, Wing-Hung Ki. 472-475 [doi]
- Investigation of parameter tolerance on digitally controlled DC-DC converter with pole-zero-cancellation techniqueSeiya Abe, Mariko Ogawa, Toshiyuki Zaitsu, Satoshi Obata, Masahito Shoyama, Tamotsu Ninomiya. 476-479 [doi]
- High-capacity DC-DC converters for active matrix OLED displaySeok Lee, Jayang Yoon, Jaehoon Kim, Changsuk Lee, Hawoong Jung, Jaeshin Lee, Joongho Choi. 480-483 [doi]
- Design of a process-insensitive digital controller for high-frequency DC-DC SMPSPo-Hsiang Lan, Chun-Yen Tseng, Po-Chiun Huang. 484-487 [doi]
- A high-energy-efficiency link scheme for closed-loop medical monitoring and interventionXiaoyu Zhang, Hanjun Jiang, Chun Zhang, Zhihua Wang. 488-491 [doi]
- A dual-rail voltage supply for battery powered portable devicesNyambayar Baatar, Namjae Kim, Hyojong Kim, Shiho Kim. 492-495 [doi]
- Chest compression depth measurement using IRUWB for CPR (cardiopulmonary resuscitation)Man Keun Kang, Je Hyeok Oh, Tae-Wook Kim. 496-499 [doi]
- An on-chip soft-start technique of current-mode DC-DC converter for biomedical applicationsDong-Seok Kim, Jungmoon Kim, Jihwan Kim, Chulwoo Kim. 500-503 [doi]
- A 60µW 10Mb/s fully digital FSK demodulator for power-jitter efficient medical BANHyungwoo Lee, Taehwan Roh, Joonsung Bae, Hoi-Jun Yoo. 504-507 [doi]
- A combined method to reduce motion artifact and power line interference for wearable healthcare systemsSunjoo Hong, Kiseok Song, Long Yan, Hoi-Jun Yoo. 508-511 [doi]
- A 7.2mW 15Mbps ASK CMOS transmitter for ingestible capsule endoscopyShengxi Diao, Yuanjin Zheng, Yuan Gao, Chun-Huat Heng, Minkyu Je. 512-515 [doi]
- Dynamic MAS reallocation module for WiMedia MACTaewook Chung, Chulho Chung, Jinha Choi, Jaeseok Kim. 516-519 [doi]
- Embedded compiler optimization for communication applicationsJong-Yeol Lee, Won-Yong Yang. 520-523 [doi]
- Design of split-radix FFT pruning for OFDM based cognitive radio systemYihu Xu, Chung-Hoon Lee, Myong-Seob Lim. 524-527 [doi]
- Weighted interpolation using supplementary filterJae Kyung Lee, Chang-Ha Jeon, In-Gul Jang, Jin-Gyun Chung. 528-531 [doi]
- Power efficient column operation-based message-passing schedule for regular ldpc decoderEun Ji Kim, Myung Hun Lee, Myung Hoon Sunwoo. 532-535 [doi]
- Synchronization and power integrity issues in 3-D ICsVasilis F. Pavlidis, Hu Xu, Ioannis Tsioutsios, Giovanni De Micheli. 536-539 [doi]
- Architectural benefits and design challenges for three-dimensional integrated circuitsJing Xie, Jishen Zhao, Xiangyu Dong, Yuan Xie. 540-543 [doi]
- Challenges in testing TSV-based 3D stacked ICs: Test flows, test contents, and test accessErik Jan Marinissen. 544-547 [doi]
- Integrating bio-sensing functions on CMOS chipsCarlotta Guiducci, Yuksel Temiz, Yusuf Leblebici, Enrico Accastelli, Anna Ferretti, Giulia Cappi, Elena Bianchi. 548-551 [doi]
- A two-pass video encoder by fixed lagrange multipliersCheng-Hong Jiang, Chih-Hung Kuo. 552-555 [doi]
- Design and implementation of a low complexity lossless video codecYin-Tsung Hwang, Chen-Cheng Lin, Ming-Wei Liu. 556-559 [doi]
- FPGA implementation for image object detection system on NoCsMing-Hwa Sheu, Shyue-Wen Yang, Wen-Sheng Huang, Siang-Min Siao. 560-563 [doi]
- Low compute complexity BU-based rate control algorithm for H.264/AVC encoderBing-Tsung Wu, Jiun-In Guo. 564-567 [doi]
- Data partition analyses for video decoders on PAC Duo platformLi-Juo Lin, Kuei-Chun Liu, Tse Min Chen, Wen-Shan Wang. 568-571 [doi]
- Overlapped block-based adaptive bilateral motion estimationTsung-Han Tsai, Hsueh-Yi Lin, Hong-Guang Chen. 572-575 [doi]
- Design of DXT architecture using current switched integratorAshis Kumar Mal, Om Prakash Hari, Rishi Todani, Anindya Sundar Dhar. 576-579 [doi]
- A low voltage current mirror based on quasi-floating gate MOSFETsRockey Gupta, Susheel Sharma, Sudhanshu S. Jamuar. 580-583 [doi]
- Low voltage regulated cascode current mirrors suitable for sub-1V operationPrateek Vajpayee, Anurag Srivastava, Sher S. Rajput, Gopal K. Sharma. 584-587 [doi]
- Post-scheduling frequency assignment for energy-efficient high-level synthesisRu Liu, Song Chen, Takeshi Yoshimura. 588-591 [doi]
- Implementation of highly accurate NMOS Vt based clamping technique in low current comparatorSyed Mustafa Khelat Bari, Didar Islam, Khondker Zakir Ahmed. 592-595 [doi]
- Novel hybrid approach combining ANN and MRA for PET volume segmentationMhd Saeed Sharif, Maysam F. Abbod, Abbes Amira, Habib Zaidi. 596-599 [doi]
- Preamplifier effect on the performance of distributed active mixerZahra Zare, Ahmad Hakimi, Farhad Sheikhhosseini, Masoud Movahhedi. 600-603 [doi]
- 3D Multiresolution Analysis for reduced features segmentation of medical volumes using PCAShadi AlZu'bi, Naveed Islam, Maysam F. Abbod. 604-607 [doi]
- Identification of gait asymmetry using wireless gyroscopesDarwin Gouwanda, S. M. N. Arosha Senanayake. 608-611 [doi]
- Smart wearable device for real time gait event detection during runningAruni U. Alahakone, S. M. N. Arosha Senanayake, Chathuri M. Senanayake. 612-615 [doi]
- A hybrid architecture for efficient FPGA-based implementation of multilayer neural networkZhen Lin, Yiping Dong, Yan Li, Takahiro Watanabe. 616-619 [doi]
- A modified discrete recurrent neural network as vector detectorMohamad Mostafa, Werner G. Teich, Jürgen Lindner. 620-623 [doi]
- Effect of articulatory Δ and ΔΔ parameters on multilayer neural network based speech recognitionManoj Banik, Mohammed Rokibul Alam Kotwal, Foyzul Hassan, Gazi Md. Moshfiqul Islam, Sharif Mohammad Musfiqur Rahman, Mohammad Mahedi Hasan, Ghulam Muhammad, Mohammad Nurul Huda. 624-627 [doi]
- Dynamic wordlength calibration to reduce power dissipation in wireless OFDM systemsJaeseong Kim, Shingo Yoshizawa, Yoshikazu Miyanaga. 628-631 [doi]
- Adaptive blind system identification for speech dereverberation using a priori estimatesRajan S. Rashobh, Andy W. H. Khong, Patrick A. Naylor. 632-635 [doi]
- Start-up analysis for differential ring oscillator with even number of stagesHui Zhang, Hai-Gang Yang, Fei Liu, Yuan-feng Wei, Jia Zhang. 636-639 [doi]
- Designing Asymmetric 2.4 GHz RF Oscillator for improving Signal Integrity by Design of ExperimentsJai Narayan Tripathi, Jayanta Mukherjee, Prakash R. Apte. 640-643 [doi]
- PPTWO: Push-Pull cell based Traveling Wave OscillatorYi Xu, Shuming Chen. 644-647 [doi]
- A 3-GHz, 22-ps/dec dynamic comparator using negative resistance combined with input pairBo-Wei Chen, Jen-Peng Wang, Chia-Ming Tsai. 648-651 [doi]
- A novel hybrid Matched Filter structure for IEEE 802.22 standardZhang Zhang, Qingqing Yang, Lingkai Wang, Xiaofang Zhou. 652-655 [doi]
- A signal permutation method for cyclic-prefix-free OFDM channel estimationShih-Hao Fang, Ju-Ya Chen, Ming-Der Shieh, Jing-Shiun Lin. 656-659 [doi]
- A high efficiency boost white LED driver with an integrated Schottky diodeYuan-Ta Hsieh, Bin-Da Liu, Jian-Fu Wu, Chiao-Li Fang, Hann-Huei Tsai, Ying-Zong Juang. 660-663 [doi]
- A high precision low dropout regulator with nested feedback loopsRon-Chi Kuo, Tung-Han Tsai, Yi-Jie Hsieh, Chua-Chin Wang. 664-667 [doi]
- Optimization of control switch for energy harvest circuit using electrostatic chargesHelder R. Florentino, Raimundo C. S. Freire, Caio Florentino. 668-671 [doi]
- Design and analysis of an interleave controlled series buck converter with low load current rippleCai-Yang Ko, Tsorng-Juu Liang, Kai-Hui Chen, Jiann-Fuh Chen. 672-675 [doi]
- Impact of capacitors' leakage current dispersion and a simple approach to improveMuhammad Mohsiul Haque, M. J. Alam. 676-679 [doi]
- A simplified PV model for low power MPPT controller designHirak Patangia, Sri Nikhil Gupta Gourisetti, Afzal Siddiqui, Sachin Sharma. 680-683 [doi]
- An efficient quasi LMS/Newton adaptive algorithm for stereophonic acoustic echo cancellationMehdi Bekrani, Mojtaba Lotfizad, Andy W. H. Khong. 684-687 [doi]
- A hardware-efficient color segmentation algorithm for face detectionKai-Ti Hu, Yu-Ting Pai, Shanq-Jang Ruan, Edwin Naroska. 688-691 [doi]
- Perceptual multiband spectral subtraction for noise reduction in hearing aidsCheng-Wen Wei, Cheng-Chun Tsai, Tian-Sheuan Chang, Shyh-Jye Jou. 692-695 [doi]
- A new regularized transform-domain NLMS adaptive filtering algorithmS. C. Chan, Y. J. Chu, Z. G. Zhang. 696-699 [doi]
- Over complementary MOS logic for don't care conditionsShun-Wen Cheng. 700-703 [doi]
- An 8T SRAM cell with column-based dynamic supply voltage for bit-interleavingAnh-Tuan Do, Kiat Seng Yeo, Jeremy Yung Shern Low, Joshua Yung Lih Low, Zhi-Hui Kong. 704-707 [doi]
- Low IR drop and low power parallel CAM design using gated power transistor techniqueAnh-Tuan Do, Shoushun Chen, Zhi-Hui Kong, Kiat Seng Yeo. 708-711 [doi]
- BusMesh NoC: A novel NoC architecture comprised of bus-based connection and global mesh routersSeungju Lee, Masao Yanagisawa, Tatsuo Ohtsuki, Nozomu Togawa. 712-715 [doi]
- Asynchronous multi-channel ADC and DSP processor interfaceNennie Farina Mahat, Lam Kien Sieng, Muhamad Khairol Ab Rani. 716-719 [doi]
- Peeling algorithm for custom instruction identificationKang Zhao, Jinian Bian. 720-723 [doi]
- On power and performance tradeoff of L2 cache compressionChandrika Jena, Tim Mason, Tom Chen. 724-727 [doi]
- Current-mode multiphase sinusoidal oscillator using current-controlled current differencing transconductance amplifiersMontree Kumngern. 728-731 [doi]
- New design for output power improvement of a 20GHz push-push FET DROSahar Biazar Ghadikolaei, Majid Tayarani. 732-735 [doi]
- Area-efficient parallel-prefix Ling addersTso-Bing Juang, Pramod Kumar Meher, Chung-Chun Kuan. 736-739 [doi]
- A sinusoidal oscillator using translinear current conveyorsMontree Kumngern, Somyot Junnapiya. 740-743 [doi]
- Sensitivity enhancement using a nonlinear resonatorChenchen Deng, Steve Collins. 744-747 [doi]
- Current-mode echo cancellation for full-duplex chip-to-chip data communicationP. Vijaya Sankara Rao, Pradip Mandal. 748-751 [doi]
- Low-cost variable-length FFT processor for DVB-T/H applicationsKisun Jung, Hanho Lee. 752-755 [doi]
- Random clock against differential power analysisKeanhong Boey, Yingxi Lu, Máire O'Neill, Roger Woods. 756-759 [doi]
- Design and analysis of cost-efficient IFFT/FFT processor chip for wireless OFDM systemsTing-Yuan Chen, Yi-Hsien Lin, Chih-Feng Wu, Chorng-Kuang Wang. 760-763 [doi]
- An energy-efficient successive approximation register analog to digital converter in 180nmTaimur Gibran R. Kuntz, Saeid Nooshabadi. 764-767 [doi]
- An FPGA implemented 24-bit audio DAC with 1-bit sigma-delta modulatorXiaoxiao Li, Alex Lee. 768-771 [doi]
- 4-bit Flash Analog to Digital Converter design using CMOS-LTE ComparatorMeghana Kulkarni, V. Sridhar, Gururaj H. Kulkarni. 772-775 [doi]
- A high speed tracking quantizer for Continuous-Time multi-bit sigma delta modulatorsYoon Hwee Leow, Liter Siek. 776-779 [doi]
- Analog-to-Digital Converter with energy recovery capability using adiabatic techniqueHoward Tang, Liter Siek. 780-783 [doi]
- 0.8-µW CMOS bulk-driven linear operational transconductance amplifier in 0.35-µm technologyApiradee Yodtean, Pasin Isarasena, Apinunt Thanachayanont. 784-787 [doi]
- Fully-differential low-offset interface for capacitive sensorsKaimin Zhou, Ziqiang Wang, Chun Zhang, Zhihua Wang. 788-791 [doi]
- A temperature-stabilized voltage reference utilizing MOS body effectHaesick Sul, Young-Hyun Jun, Bai-Sun Kong. 792-795 [doi]
- Numerical implementation of a Functional Observability algorithm: A Singular Value Decomposition ApproachTyrone Lucius Fernando, Les S. Jennings, Hieu Minh Trinh. 796-799 [doi]
- Mutators simulating memcapacitors and meminductorsDalibor Biolek, Viera Biolkova, Zdenek Kolka. 800-803 [doi]
- Effects of exercise on the second derivative photoplethysmography(PPG) waveformR. Mohamad Rozi, M. A. M. Ali, M. B. I. Reaz. 804-807 [doi]
- The application of ultrasonic transducer in bacteria growth monitoring systemH. N. A. Salwa, Kenneth Sundaraj, Abdullah Azian Azamimi, Mohammed M. D. Zulkali. 808-811 [doi]
- Signal and noise separation in medical diagnostic system based on independent component analysisAbdullah K. Khan, Tatsuya Onoue, Kenji Hashiodani, Yohei Fukumizu, Hironori Yamauchi. 812-815 [doi]
- A study on characteristic and reliability of fabricated microfluidic three electrodes sensor based on randle-sevcik equationIrni Hamiza Hamzah, Asrulnizam Abd Manaf, Othman Sidek. 816-819 [doi]
- Design, simulation, modeling and characterization of micromachined microcantilever using coventorware softwareAbdelaziz Yousif Ahmed, John Ojur Dennis, Mohamad Naufal Mohamad Saad. 820-823 [doi]
- A 8-resistor SU-8 accelerometer with reduced cross axis sensitivityPrasenjit Ray, V. Ramgopal Rao, Prakash R. Apte. 824-826 [doi]
- Design and modeling of MEMS resonator for magnetic field sensing using hybrid actuation techniqueFarooq Ahmad, John O. Dennis, Nor Hisham Hamid, Mohd Haris M. Khir, Abdelaziz Yousif Ahmed. 827-830 [doi]
- Switching performance analysis in RF MEMS capacitive shunt switches by geometric parameters trade-offsParvin Bahmanyar, Khalil Mafinezhad, Mostafa Bahmanyar. 831-834 [doi]
- A fully digital modulator/demodulator for Power Line Communication (PLC)Ko-Chi Kuo, Jia-Wei Guo, Yu-Hao Ou. 835-838 [doi]
- A high conversion gain, low noise figure RF-CMOS receiver front-end IC for 2.4-GHz applicationsMahyar Nirouei, Soheil Ziabakhsh, Hosein Alavi-Rad, Saman Ziabakhsh. 839-842 [doi]
- th-order active R-MOSFET-C band-pass filter for power-line communicationDi Zhu, Jiacheng Wang, Chaoli Zhong, Jie Tian, Yiming Tang, Peiyuan Wan, Ping Lin. 843-846 [doi]
- m)Prabhat Chandra Shrivastava, Rupesh Kumar, Arvind Kumar, Sanjeev Rai. 847-850 [doi]
- A low-power sub-threshold CMOS continuous-time active-filter with reduced in-band noise for WiMAX applicationsMilad Ataei, Mohsen Tamaddon, Abumoslem Jannesari. 851-854 [doi]
- Low power 0.18µm CMOS ultra wideband inductor-less LNA design for UWB receiverAli Shirzad Nilsaz, Mohsen Khani Parashkoh, Hossain Ghauomy-zadeh, Zhuo Zou, Majid Baghaei Nejad, Li-Rong Zheng. 855-858 [doi]
- Allocation of network MW flows to bilateral transactionsMohamed Shaaban. 859-862 [doi]
- Design of a linearly increasing inrush current limit circuit for DC-DC boost regulatorsKhondker Zakir Ahmed, Muhammad Shahidul Islam, Syed Mustafa Khela Bari, Mohammad Riazur Rahman Mazumder, A. B. M. Harun-ur Rashid. 863-866 [doi]
- Optimal state-space controller for power switching converterGhulam Abbas, Nacer Abouchi, Gaël Pillonnet. 867-870 [doi]
- High-speed low-power bootstrapped level converter for dual supply systemsSang-Keun Han, KeeChan Park, Bai-Sun Kong, Young-Hyun Jun. 871-874 [doi]
- Battery aware tasks allocating algorithm for multi-battery operated systemPeng Ouyang, Shouyi Yin, Leibo Liu, Shaojun Wei. 875-878 [doi]
- High efficiency power management system for solar energy harvesting applicationsMing-Hung Chang, Jung-Yi Wu, Wei-Chih Hsieh, Shang-Yuan Lin, You-Wei Liang, Wei Hwang. 879-882 [doi]
- Bangla triphone HMM based word recognitionMohammad Mahedi Hasan, Foyzul Hassan, Gazi Md. Moshfiqul Islam, Manoj Banik, Mohammed Rokibul Alam Kotwal, Sharif Mohammad Musfiqur Rahman, Ghulam Muhammad, Mohammad Nurul Huda. 883-886 [doi]
- Optimal register assignment with minimum-delay compensation for latch-based designKeisuke Inoue, Mineo Kaneko. 887-890 [doi]
- Quadratic phase coupling analysis for infrasound vehicle detectionRenshi Li, Vinod V. Reddy, Andy W. H. Khong. 891-894 [doi]
- Design and implementation of digital image processing techniques in pulse-domainFatemeh Taherian, Davud Asemani. 895-898 [doi]
- Efficient protocol converter generation for system integrationDer-Wei Yang, Ming-Der Shieh, Wen-Hsuen Kuo, Jonas Wang. 903-906 [doi]
- High-performance 3D-SRAM architecture designChun-Lung Hsu, Ching-Fen Wu. 907-910 [doi]
- Mixed-level modeling for network on chip infrastructure in SoC designYang Hu, Shouyi Yin, Leibo Liu, Shaojun Wei. 911-914 [doi]
- A configurable IP core for inverse quantized discrete cosine and integer transforms with arbitrary accuracyChi-Chia Sun, Ce Zhang, Jürgen Götze. 915-918 [doi]
- Star-type architecture with low transmission latency for a 2D mesh NOCKuan-Ju Chen, Chin-Hung Peng, Feipei Lai. 919-922 [doi]
- Panning sorter: A minimal-size architecture for hardware implementation of 2D Data Sorting CoprocessorsVolnei A. Pedroni, Ricardo P. Jasinski, Ricardo U. Pedroni. 923-926 [doi]
- Estimating required driver strength in the resonant clock generatorSeyed Ebrahim Esmaeili, Asim J. Al-Khalili, Glenn E. R. Cowan. 927-930 [doi]
- A low phase noise and large tuning range 2.4GHz LC voltage-controlled oscillatorM. H. Siti Maisurah, Emran F. Nazif, M. Norman Fadhil Idham, A. I. Abdul Rahim, Y. Mohamed Razman. 931-934 [doi]
- Implementation of low power FFT structure using a method based on conditionally coded blocksSandeep Saini, Anurag Mahajan, Srinivas B. Mandalika. 935-938 [doi]
- Power and jitter optimized VCO design using an on-chip supply noise monitoring circuitYutao Liu, Ni Xu, Woogeun Rhee, Ziqiang Wang, Zhihua Wang. 939-942 [doi]
- A multiphase all-digital delay-locked loop with reuse SARPao-Lung Chen, Tzu-Siang Wang, Jyun-Han Ciou. 943-946 [doi]
- A system-level non-linear behavioral modeling of pulling and pushing mechanisms in PLLsManohiaina Ranaivoniarivo, Sidina Wane, Elodie Richalot, Odile Picon. 947-950 [doi]
- Implementation of topological circuit reductionZdenek Kolka, Dalibor Biolek, Viera Biolkova, Martin Horák. 951-954 [doi]
- Design and evaluation of a 4×4 MIMO-OFDM transceiver for gigabit indoor wireless communicationsPei-Yun Tsai, Ze-Mu Chang, Zheng-Yu Huang, Wen-Ji Jau. 955-958 [doi]
- A new power efficient current-mode 4-PAM transmitter interface for off-chip interconnectP. Vijaya Sankara Rao, Pradip Mandal. 959-962 [doi]
- A digital IF based UHF RFID reader transmitterLu Liang, Zhang Chun, Wang Jing-chao. 963-966 [doi]
- A 40-GHz phase-locked loop front-end for 60-GHz transceivers in 65nm CMOSHammad M. Cheema, Reza Mahmoudi, Arthur H. M. van Roermund. 967-970 [doi]
- Effects of CNT diameter variability on a CNFET-based SRAMHamed Shahidipour, Yue Zhong, Arash Ahmadi, Koushik Maharatna. 971-974 [doi]
- Efficient DFV-aware flip-flopsChangnoh Yoon, Youngmin Cho, Jinsang Kim, Won-Kyung Cho. 975-978 [doi]
- Design and characterisation of 16×1 parallel outputs SPAD array in 0.18 um CMOS technologySuhaila Isaak, Mark C. Pitter, Steve Bull, Ian Harrison. 979-982 [doi]
- An ANN Majority Logic Gate (MLG) using Single Electron Nano-DevicesSameh Ebrahim Rehan. 983-986 [doi]
- Continuous wave (CW) sub-Terahertz (sub-THz) detection by Plasma Wave in High Electron Mobility Transistor (HEMT)Mohd Azlishah Othman, Ian Harrison. 987-990 [doi]
- A distributed framework to improve high-performance IPMeng Yeem Kah, Yann Fong Day, Jun Koh Wei. 991-994 [doi]
- A fast CRAM SEU error detection scheme for FPGAsJong Kiun Kiet, Tan Jun Pin, Ang Boon Jin. 995-998 [doi]
- An improved I/O buffer correlation methodology between silicon and the SPICE modelLai Chen Leong, See Hour Ying, Chee Seong Fong, Wei Wei Lo. 999-1002 [doi]
- Vehicle tracking by fusing multiple cues in structured environments using particle filterHamideh Rezaee, Ali Aghagolzadeh, Hadi Seyedarabi. 1001-1004 [doi]
- Interoperable physical design database between OpenAccess and MilkywayYong Hong Poh, Chin Yin Chew, Kok Leong Hoi, Wei Pin Soo. 1003-1006 [doi]
- ADC clock jitter measurement and correction using a stochastic TDCChi-Wei Fan, Jieh-Tsorng Wu. 1007-1010 [doi]
- A reduced jitter-sensitivity clock generation technique for continuous-time ΣΔ modulatorsYang Jiang, Kim-Fai Wong, Chen-Yan Cai, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins. 1011-1014 [doi]
- A 0.5V 65nm-CMOS single phase clocked bootstrapped switch with rise time acceleratorAkira Shikata, Ryota Sekimoto, Hiroki Ishikuro. 1015-1018 [doi]
- A 0.5V 6-bit scalable phase interpolatorSatoshi Kumaki, Abul Hasan Johari, Takeshi Matsubara, Isamu Hayashi, Hiroki Ishikuro. 1019-1022 [doi]
- Elimination of echoes from voice communicationM. Aimaduddin M. Y., Mohd Zain Ismail, Abdul Halim Ali, Suraya Mohammad. 1023-1026 [doi]
- Stochastic TDC architecture with self-calibrationSatoshi Ito, Shigeyuki Nishimura, Haruo Kobayashi, Satoshi Uemori, Yohei Tan, Nobukazu Takai, Takahiro J. Yamaguchi, Kiichi Niitsu. 1027-1030 [doi]
- Environmental taxonomy of power scavenging techniques for autonomous self powered wireless sensorsS. R. Kamel Tabbakh, R. Maarefdoust, Ng Chee Kyun, Borhanuddin Mohd Ali. 1031-1034 [doi]
- Incremental delta-sigma A/D converter for ion-sensitive system applicationPo-Chiao Lee, Wen-Yaw Chung, Mark Anthony Te. 1035-1038 [doi]
- A low-power switched-capacitor humidity sensor interfaceYe Shuqin, P. K. Chan. 1039-1042 [doi]
- Travelling route of mobile surveillance cameraYoichi Tomioka, Atsushi Takara, Hitoshi Kitazawa. 1043-1046 [doi]
- A conductivity and temperature sensor array for detecting saltwater intrusion in shore-based communitiesPaolo Angelo R. Fajardo, Rozelle C. Valdez, Marc Caesar R. Talampas, Michael Lochinvar S. Abundo. 1047-1050 [doi]
- Modeling of DLL-based frequency multiplier in time and frequency domain with Matlab SimulinkMohammad Gholami, Mohammad Sharifkhani, Saeed Saeedi. 1051-1054 [doi]
- Systolic-array 3D wave-digital beam filtersArjuna Madanayake, Len T. Bruton. 1055-1058 [doi]
- A simplified approach for baseband recovery in SDR architecturesRichard Wee Tar Ng, Liter Siek. 1059-1062 [doi]
- The affine transform and feature fusion for robust speaker identification in the presence of speech coding distortionRobert W. Mudrowsky, Ravi P. Ramachandran, Sachin S. Shetty. 1063-1066 [doi]
- Design of UWB waveforms for narrowband interferences suppressionMohd Amaluddin Yusoff, Zhuquan Zang. 1067-1070 [doi]
- Weighted least-squares design of IIR all-pass filters using a Lyapunov error criterionYue-Dar Jou, Fu-Kun Chen, Lo-Chyuan Su, Chao-Ming Sun. 1071-1074 [doi]
- Routability-driven partitioning-based IO assignment for flip-chip designsJin-Tai Yan, Kai-Ping Lu, Zhi-Wei Chen. 1075-1078 [doi]
- Design of table-based function evaluators with reduced memory size Using a bottom-up non-uniform segmentation methodShen-Fu Hsiao, Chia-Sheng Wen, Kun-Chih Chen. 1079-1082 [doi]
- A fast selector-based subtract-multiplication unit and its application to Radix-2 butterfly unitYouhei Tsukamoto, Masao Yanagisawa, Tatsuo Ohtsuki, Nozomu Togawa. 1083-1086 [doi]
- Thermal-aware router-sharing architecture for 3D Network-on-Chip designsYong-Ruei Huang, Jia-Hong Pan, Yi-Chang Lu. 1087-1090 [doi]
- The parallel algorithm implementation of matrix multiplication based on ESCAPan Chen, Kui Dai, Dan Wu, Jinli Rao, Xuecheng Zou. 1091-1094 [doi]
- Symbolic analysis of the Tau Cell log-domain filter using affine MOSFET modelsBalavelan Thanigaivelan, Adam Postula, Craig T. Jin, André van Schaik, Tara Julia Hamilton. 1095-1098 [doi]
- Electronically tunable multiple-input single-output voltage-mode multifunction filter employing simple CMOS OTAsMontree Kumngern, Usa Torteanchai, Kobchai Dejhan. 1099-1102 [doi]
- th order, highly linear Gm-C bandpass filter designSaumen Mondal, Kumar Vaibhav Srivastava, Animesh Biswas. 1103-1106 [doi]
- On accuracy of averaging for switched convertersZdenek Kolka, Dalibor Biolek, Viera Biolkova. 1107-1110 [doi]
- Comparative analysis of switching performance of transistors in SOS process for RF applicationsRobabeh Amirkhanzadeh, Henrik Sjöland, Ajay Tikka, Mike Faulkner. 1111-1114 [doi]
- Effect of impedance variation around the fundamentals on PA distortions characteristics under wideband multi-tone stimulusShaiful J. Hashim, Mohammad Shabi Hashmi, Johannes Benedikt, Paul J. Tasker. 1115-1118 [doi]
- Performance of MB-OWDM UWB signals in wireless communicationsT. S. N. Murthy, K. Deergha Rao. 1119-1122 [doi]
- A new ZCT precoding based SLM technique for PAPR reduction in OFDM systemsImran Baig, Varun Jeoti. 1123-1126 [doi]
- Space Vector PWM for PMSM simulation using Matlab SimulinkAnas Mohd Nazlee, Nor Hisham Hamid, Fawnizu Azmadi Hussin, Noohul Basheer Zain Ali. 1127-1130 [doi]
- A new ZCT precoded OFDM system with pulse shaping: PAPR analysisImran Baig, Varun Jeoti. 1131-1134 [doi]
- Reconfigurable, fast AFC technique using code estimation and binary search algorithm for 0.2-6GHz software-defined radio frequency synthesisJun Li 0024, Ni Xu, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang. 1135-1138 [doi]
- VLSI implementation of a fast intra prediction algorithm for H.264/AVC encodingYouhua Shi, Kenta Tokumitsu, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki. 1139-1142 [doi]
- Interconnect area, delay and area-delay optimization for multi-level signaling on-chip busMai Y. Ching, Ang T. Boon, Chin K. Yeong, Fakhrul Zaman Rokhani. 1143-1146 [doi]
- Impact of HALO structure on threshold voltage and leakage current in 45nm NMOS deviceFauziyah Salehuddin, Ibrahim Ahmad, Fazrena Azlee Hamid, Azami Zaharim. 1147-1150 [doi]
- A cryogenic D/A converter with novel charge injection reduction technique for silicon quantum computer controller circuitMd. Tanvir Rahman, Torsten Lehmann. 1151-1154 [doi]
- The thermal-aware floorplanning for 3D ICs using Carbon NanotubeShengqing Shi, Xi Zhang, Rong Luo. 1155-1158 [doi]
- Low-noise readout circuits with a response time acceleration technique for high output impedance sensorsKamel Mars, Shoji Kawahito. 1159-1162 [doi]
- Clock-gated and low-power standard cell library for ISFET Two-Point Calibration processor chipWen-Yaw Chung, Jian-Ping Chang, Febus Reidj G. Cruz. 1163-1166 [doi]
- Automated essay content analysis based on Concept Indexing with Fuzzy C-means clusteringAbigail R. Razon, Ma. Lourdes J. Vargas, Rowena Cristina L. Guevara, Prospero C. Naval. 1167-1170 [doi]
- Footstep detection and denoising using a single triaxial geophoneVinod V. Reddy, V. Divya, Andy W. H. Khong, Boon Poh Ng. 1171-1174 [doi]
- Study on coverage in Wireless Sensor Network using grid based strategy and Particle Swarm OptimizationW. Z. Wan Ismail, S. A. Manaf. 1175-1178 [doi]
- Race logic synthesis for a multithreaded HDL/ESL simulator for SoC designsT. Chan. 1179-1182 [doi]
- A low-latency GALS interface implementationYuan-Teng Chang, Wei-Che Chen, Hung-Yue Tsai, Wei-Min Cheng, Chang-Jiu Chen, Fu-Chiung Cheng. 1183-1186 [doi]
- Estimation-based run-time power profile flattening for RF-powered smart card systemsAndreas Genser, Christian Bachmann, Christian Steger, Reinhold Weiss, Josef Haid. 1187-1190 [doi]
- B*-tree based variability-aware floorplanningWenjuan Zhang, Shefali Srivastava, Yajun Ha. 1191-1194 [doi]
- Efficient VLSI architecture for implementation of 1-D discrete wavelet transform based on distributed arithmeticAnurag Mahajan, Basant K. Mohanty. 1195-1198 [doi]
- SEED masking implementations against power analysis attacksYingxi Lu, Keanhong Boey, Philip Hodgers, Máire O'Neill. 1199-1202 [doi]
- A low-power radix-4 Viterbi decoder based on DCVSPG pulsed latch with sharing techniqueXin-Ru Lee, Hsie-Chia Chang, Chen-Yi Lee. 1203-1206 [doi]
- Baseband receiver design for 3GPP Long Term Evolution downlink OFDMA systems under fast-fading channelsPei-Yun Tsai, Hsiang-Wei Chang, Po-Hsien Hsieh, Jhen-Yu Hou, Kang-Yi Fan. 1207-1210 [doi]
- An efficient ODT calibration scheme for improved signal integrity in memory interfaceGudipati Kalyan, M. B. Srinivas. 1211-1214 [doi]
- Low-complexity architecture of CFO and IQI compensation in MIMO-OFDM systemsKuang-Hao Lin, Jan-Dong Tseng. 1215-1218 [doi]
- A high performance vertical Si nanowire CMOS for ultra high density circuitsSatish Maheshwaram, Gaurav Kaushal, S. K. Manhas. 1219-1222 [doi]
- Impact of skew and jitter on the performance of VLSI interconnectsGargi Khanna, Rajeevan Chandel, Ashwani Kumar Chandel. 1223-1226 [doi]
- Negative ESD robustness of a novel anti-ESD TGFPTD SOI LDMOSHaipeng Zhang, Liang Zhang, Dejun Wang, Guohua Liu, Mi Lin, Xiaoyan Niu, Lingyan Fan. 1227-1230 [doi]