Journal: IEEE Trans. VLSI Syst.

Volume 20, Issue 9

1553 -- 1564Yongtao Geng, Huan Zou, Chaojiang Li, Jiwei Sun, Haibo Wang, Pingshan Wang. Short Pulse Generation With On-Chip Pulse-Forming Lines
1565 -- 1577Songwei Pei, Huawei Li, Xiaowei Li 0001. A High-Precision On-Chip Path Delay Measurement Architecture
1578 -- 1589Amir Moradi, Mario Kirschbaum, Thomas Eisenbarth, Christof Paar. Masked Dual-Rail Precharge Logic Encounters State-of-the-Art Power Analysis Methods
1590 -- 1601Dongwan Ha, Kyoungho Woo, Scott Meninger, Thucydides Xanthopoulos, Ethan Crain, Donhee Ham. Time-Domain CMOS Temperature Sensors With Dual Delay-Locked Loops for Microprocessor Thermal Monitoring
1602 -- 1614Shreyas Sen, Shyam Kumar Devarakond, Abhijit Chatterjee. Phase Distortion to Amplitude Conversion-Based Low-Cost Measurement of AM-AM and AM-PM Effects in RF Power Amplifiers
1615 -- 1620Chien-Ying Yu, Jui-Yuan Yu, Chen-Yi Lee. A Low Voltage All-Digital On-Chip Oscillator Using Relative Reference Modeling
1621 -- 1633Li Jiang, Qiang Xu, Krishnendu Chakrabarty, T. M. Mak. Integrated Test-Architecture Optimization and Thermal-Aware Test Scheduling for 3-D SoCs Under Pre-Bond Test-Pin-Count Constraint
1634 -- 1644Palkesh Jain, Ankit Jain. Accurate Current Estimation for Interconnect Reliability Analysis
1645 -- 1655Prashant Singh, Eric Karl, David Blaauw, Dennis Sylvester. Compact Degradation Sensors for Monitoring NBTI and Oxide Degradation
1656 -- 1667Cheng-Ta Chiang, Chih-Hsien Wang, Chia-Yu Wu. A CMOS MEMS Audio Transducer Implemented by Silicon Condenser Microphone With Analog Front-End Circuits of Audio Codec
1668 -- 1680Weirong Jiang, Viktor K. Prasanna. Scalable Packet Classification on FPGA
1681 -- 1690Hiva Hedayati, Bertan Bakkaloglu. A 3 GHz Wideband $\Sigma \Delta$ Fractional-N Synthesizer With Switched-RC Sample-and-Hold PFD
1691 -- 1704Young-Jun Kim, Hyo-Eun Kim, Seok-Hoon Kim, Jun-Seok Park, Seungwook Paek, Lee-Sup Kim. Homogeneous Stream Processors With Embedded Special Function Units for High-Utilization Programmable Shaders
1705 -- 1714Guiqiang Dong, Yangyang Pan, Ningde Xie, Chandra Varanasi, Tong Zhang 0002. Estimating Information-Theoretical nand Flash Memory Storage Capacity and its Implication to Memory System Design Space Exploration
1715 -- 1728Hao-Yu Yang, Chi-Min Chang, Mango Chia-Tso Chao, Rei-Fu Huang, Shih-Chin Lin. Testing Methodology of Embedded DRAMs
1729 -- 1737Fang Gong, Hao Yu, Lingli Wang, Lei He. A Parallel and Incremental Extraction of Variational Capacitance With Stochastic Geometric Moments
1738 -- 1742Yin-Tsung Hwang, Jin-Fa Lin. Low Voltage and Low Power Divide-By-2/3 Counter Design Using Pass Transistor Logic Circuit Technique
1743 -- 1747Meng-Hung Shen, Po-Chiun Huang. A Low Cost Calibrated DAC for High-Resolution Video Display System

Volume 20, Issue 8

1357 -- 1367Horng-Yuan Shih, Chih-Wei Wang. A Highly-Integrated 3-8 GHz Ultra-Wideband RF Transmitter With Digital-Assisted Carrier Leakage Calibration and Automatic Transmit Power Control
1368 -- 1372Tae-Kwang Jang, Jaewook Kim, Young-Gyu Yoon, SeongHwan Cho. A Highly-Digital VCO-Based Analog-to-Digital Converter Using Phase Interpolator and Digital Calibration
1373 -- 1382Jee Khoi Yin, P. K. Chan. Jitter Analysis of Polyphase Filter-Based Multiphase Clock in Frequency Multiplier
1383 -- 1391Lerong Cheng, Fang Gong, Wenyao Xu, Jinjun Xiong, Lei He, Majid Sarrafzadeh. Fourier Series Approximation for Max Operation in Non-Gaussian and Quadratic Statistical Static Timing Analysis
1392 -- 1404Sebastian Herbert, Siddharth Garg, Diana Marculescu. Exploiting Process Variability in Voltage/Frequency Control
1405 -- 1418Xiaoxiao Wang, Mohammad Tehranipoor, Saji George, Dat Tran, LeRoy Winemberg. Design and Analysis of a Delay Sensor Applicable to Process/Environmental Variations and Aging Measurements
1419 -- 1428Zhong-Ho Chen, Alvin Wen-Yu Su, Ming-Ting Sun. Resource-Efficient FPGA Architecture and Implementation of Hough Transform
1429 -- 1442Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose. Portable, Flexible, and Scalable Soft Vector Processors
1443 -- 1452Yunlei Li, Jin Liu, Hoi Lee. Ground Switching Load Modulation With Ground Isolation for Passive HF RFID Transponders
1453 -- 1466Reza Azarderakhsh, Arash Reyhani-Masoleh. Efficient FPGA Implementations of Point Multiplication on Binary Edwards and Generalized Hessian Curves Using Gaussian Normal Basis
1467 -- 1472Davide Baccarin, David Esseni, Massimo Alioto. Mixed FBB/RBB: A Novel Low-Leakage Technique for FinFET Forced Stacks
1473 -- 1486Shaobo Liu, Jun Lu, Qing Wu, Qinru Qiu. Harvesting-Aware Power Management for Real-Time Systems With Renewable Energy
1487 -- 1495Felice Crupi, Massimo Alioto, Jacopo Franco, Paolo Magnone, Ben Kaczer, Guido Groeseneken, Jérôme Mitard, Liesbeth Witters, Thomas Y. Hoffmann. Buried Silicon-Germanium pMOSFETs: Experimental Analysis in VLSI Logic Circuits Under Aggressive Voltage Scaling
1496 -- 1509Ou He, Sheqin Dong, Wooyoung Jang, Jinian Bian, David Z. Pan. UNISM: Unified Scheduling and Mapping for General Networks on Chip
1510 -- 1523Darío Suárez Gracia, Giorgos Dimitrakopoulos, Teresa Monreal Arnal, Manolis Katevenis, Víctor Viñals Yúfera. LP-NUCA: Networks-in-Cache for High-Performance Low-Power Embedded Processors
1524 -- 1528Young-Jae Min, Chan-Hui Jeong, Kyu-Young Kim, Won Ho Choi, Jong-Pil Son, Chulwoo Kim, Soo-Won Kim. A 0.31-1 GHz Fast-Corrected Duty-Cycle Corrector With Successive Approximation Register for DDR DRAM Applications
1528 -- 1532Vinayak Honkote, Baris Taskin. ZeROA: Zero Clock Skew Rotary Oscillatory Array
1532 -- 1536Joonho Kong, Yan Pan, Serkan Ozdemir, Anitha Mohan, Gokhan Memik, Sung Woo Chung. Fine-Grain Voltage Tuned Cache Architecture for Yield Management Under Process Variations
1537 -- 1541Chung-An Shen, Ahmed M. Eltawil, Khaled N. Salama, Sudip Mondal. A Best-First Soft/Hard Decision Tree Searching MIMO Decoder for a 4 $\times$ 4 64-QAM System
1542 -- 1546Supriya Aggarwal, Pramod Kumar Meher, Kavita Khare. Area-Time Efficient Scaling-Free CORDIC Using Generalized Micro-Rotation Selection
1547 -- 1551Seyed Ebrahim Esmaeili, Asim J. Al-Khalili, Glenn E. R. Cowan. Low-Swing Differential Conditional Capturing Flip-Flop for LC Resonant Clock Distribution Networks

Volume 20, Issue 7

1161 -- 1166Chixiang Ma, Hao Cao, Ping Lin. A Low-Power Low-Cost Design of Primary Synchronization Signal Detection
1167 -- 1174Yanqi Zheng, Hua Chen, Ka Nang Leung. A Fast-Response Pseudo-PWM Buck Converter With PLL-Based Hysteresis Control
1175 -- 1186Hang Yu, Lin Zhong, Ashutosh Sabharwal. Power Management of MIMO Network Interfaces on Mobile Systems
1187 -- 1200Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng. Temperature-Aware Idle Time Distribution for Leakage Energy Optimization
1201 -- 1210Chien-Yu Hsieh, Ming-Long Fan, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang. Independently-Controlled-Gate FinFET Schmitt Trigger Sub-Threshold SRAMs
1211 -- 1220Randy W. Mann, Terry B. Hook, Phung T. Nguyen, Benton H. Calhoun. Nonrandom Device Mismatch Considerations in Nanoscale SRAM
1221 -- 1234Zhen Wang, Mark G. Karpovsky, Ajay Joshi. Nonlinear Multi-Error Correction Codes for Reliable MLC nand Flash Memories
1235 -- 1247Yang Sun, Joseph R. Cavallaro. High-Throughput Soft-Output MIMO Detector Based on Path-Preserving Trellis-Search Algorithm
1248 -- 1261Seokjoong Hwang, Youngsun Han, Seon Wook Kim, Jongsun Park, Byung-Gueon Min. Resource Efficient Implementation of Low Power MB-OFDM PHY Baseband Modem With Highly Parallel Architecture
1262 -- 1275Nuno Sebastião, Nuno Roma, Paulo F. Flores. Integrated Hardware Architecture for Efficient Computation of the $n$-Best Bio-Sequence Local Alignments in Embedded Platforms
1276 -- 1284Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn. Hardware Implementation of Nakagami and Weibull Variate Generators
1285 -- 1294Kiichi Niitsu, Shusuke Kawai, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda. A 65fJ/b Inter-Chip Inductive-Coupling Data Transceivers Using Charge-Recycling Technique for Low-Power Inter-Chip Communication in 3-D System Integration
1295 -- 1303Chi Wai Yu, Alastair M. Smith, Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton. Optimizing Floating Point Units in Hybrid FPGAs
1304 -- 1317Qiaoyan Yu, Paul Ampadu. Dual-Layer Adaptive Error Control for Network-on-Chip Links
1318 -- 1322Xinmiao Zhang, Yingquan Wu, Jiangli Zhu, Yu Zheng. Novel Interpolation and Polynomial Selection for Low-Complexity Chase Soft-Decision Reed-Solomon Decoding
1323 -- 1327Kavallur Gopi Smitha, A. Prasad Vinod. A Multi-Resolution Fast Filter Bank for Spectrum Sensing in Military Radio Receivers
1327 -- 1331Sohan Purohit, Martin Margala. Investigating the Impact of Logic and Circuit Implementation on Full Adder Performance
1332 -- 1336Kalyan Bhattacharyya, Ted H. Szymanski. Temperature Characteristics and Analysis of Monolithic Microwave CMOS Distributed Oscillators With ${G}_{m}$-Varied Gain Cells and Folded Coplanar Interconnects
1336 -- 1341Kangmin Hu, Larry Wu, Patrick Yin Chiang. A Comparative Study of 20-Gb/s NRZ and Duobinary Signaling Using Statistical Analysis
1341 -- 1346Azzurra Pulimeno, Mariagrazia Graziano, Gianluca Piccinini. UDSM Trends Comparison: From Technology Roadmap to UltraSparc Niagara2
1346 -- 1350Li Li 0003, Bo Yuan, Zhongfeng Wang, Jin Sha, Hongbing Pan, Weishan Zheng. Unified Architecture for Reed-Solomon Decoder Combined With Burst-Error Correction
1351 -- 1354Fei Hong, Aviral Shrivastava, Jongeun Lee. Return Data Interleaving for Multi-Channel Embedded CMPs Systems

Volume 20, Issue 6

977 -- 988Jerry C. Kao, Wei-Hsiang Ma, Visvesh S. Sathe, Marios C. Papaefthymiou. Energy-Efficient Low-Latency 600 MHz FIR With High-Overdrive Charge-Recovery Logic
989 -- 1001Wei-Chih Hsieh, Wei Hwang. All Digital Linear Voltage Regulator for Super- to Near-Threshold Operation
1002 -- 1011Jianchao Lu, Ying Teng, Baris Taskin. A Reconfigurable Clock Polarity Assignment Flow for Clock Gated Designs
1012 -- 1025Wei Fei, Hao Yu, Wei Zhang, Kiat Seng Yeo. Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis
1026 -- 1035Irith Pomeranz. Gradual Diagnostic Test Generation and Observation Point Insertion Based on the Structural Distance Between Indistinguished Fault Pairs
1036 -- 1048Zhen Wang, Mark G. Karpovsky, Ajay Joshi. Secure Multipliers Resilient to Strong Fault-Injection Attacks Using Multilinear Arithmetic Codes
1049 -- 1057Sheng Wei, Miodrag Potkonjak. Scalable Hardware Trojan Diagnosis
1058 -- 1067Francisco Barranco, Matteo Tomasi, Javier Díaz, Mauricio Vanegas, Eduardo Ros. Parallel Architecture for Hierarchical Optical Flow Estimation Based on FPGA
1068 -- 1081Manohar Ayinala, Michael Brown, Keshab K. Parhi. Pipelined Parallel FFT Architectures via Folding Transformation
1082 -- 1093Seok-Hoon Kim, Sung-Eui Yoon, Sang-Hye Chung, Young-Jun Kim, Hong-Yun Kim, Kyusik Chung, Lee-Sup Kim. A Mobile 3-D Display Processor With A Bandwidth-Saving Subdivider
1094 -- 1107Duo Liu, Yi Wang 0003, Zhiwei Qin, Zili Shao, Yong Guan. A Space Reuse Strategy for Flash Translation Layers in SLC NAND Flash Memory Storage Systems
1108 -- 1117Alejandro Valero, Julio Sahuquillo, Vicente Lorente, Salvador Petit, Pedro López, José Duato. Impact on Performance and Energy of the Retention Time and Processor Frequency in L1 Macrocell-Based Data Caches
1118 -- 1131Yu-Shen Yang, Andreas G. Veneris, Nicola Nicolici. Automating Data Analysis and Acquisition Setup in a Silicon Debug Environment
1132 -- 1145Yang Zhao, Krishnendu Chakrabarty, Ryan Sturmer, Vamsee K. Pamula. Optimization Techniques for the Synchronization of Concurrent Fluidic Operations in Pin-Constrained Digital Microfluidic Biochips
1146 -- 1151Sampo Tuuna, Ethiopia Nigussie, Jouni Isoaho, Hannu Tenhunen. Modeling of Energy Dissipation in RLC Current-Mode Signaling
1151 -- 1155Ming Ming Wong, M. L. Dennis Wong, Asoke K. Nandi, I. Hijazin. Construction of Optimum Composite Field Architecture for Compact High-Throughput AES S-Boxes
1156 -- 1160Irith Pomeranz. Multi-Pattern $n$-Detection Stuck-At Test Sets for Delay Defect Coverage

Volume 20, Issue 5

777 -- 790Songjun Pan, Yu Hu, Xiaowei Li 0001. IVF: Characterizing the Vulnerability of Microprocessor Structures to Intermittent Faults
791 -- 803W. Paul Griffin, Anand Raghunathan, Kaushik Roy. CLIP: Circuit Level IC Protection Through Direct Injection of Process Variations
804 -- 817Kentaroh Katoh, Kazuteru Namba, Hideo Ito. An On-Chip Delay Measurement Technique Using Signature Registers for Small-Delay Defect Detection
818 -- 826Anson H. T. Tse, David B. Thomas, Wayne Luk. Design Exploration of Quadrature Methods in Option Pricing
827 -- 840Miroslav Knezevic, Kazuyuki Kobayashi, Jun Ikegami, Shin'ichiro Matsuo, Akashi Satoh, Ünal Koçabas, Junfeng Fan, Toshihiro Katashita, Takeshi Sugawara, Kazuo Sakiyama, Ingrid Verbauwhede, Kazuo Ohta, Naofumi Homma, Takafumi Aoki. Fair and Consistent Hardware Evaluation of Fourteen Round Two SHA-3 Candidates
841 -- 854Chieh-Jen Cheng, Chao-Ching Wang, Wei-Chun Ku, Tien-Fu Chen, Jinn-Shyan Wang. A Scalable High-Performance Virus Detection Processor Against a Large Pattern Set for Embedded Network Security
855 -- 864Fahad Ahmed, Linda Milor. Analysis and On-Chip Monitoring of Gate Oxide Breakdown in SRAM Cells
865 -- 877Boyuan Yan, Sheldon X.-D. Tan, Lingfei Zhou, Jie Chen 0005, Ruijing Shen. Decentralized and Passive Model Order Reduction of Linear Networks With Massive Ports
878 -- 891Tobias Strauch. Single Cycle Access Structure for Logic Test
892 -- 901Nandish Ashutosh Mehta, Bharadwaj Amrutur. Dynamic Supply and Threshold Voltage Scaling for CMOS Digital Circuits Using In-Situ Power Monitor
902 -- 910Weixun Wang, Prabhat Mishra. System-Wide Leakage-Aware Energy Minimization Using Dynamic Voltage Scaling and Cache Reconfiguration in Multitasking Systems
911 -- 924Rahul Rithe, Sharon Chou, Jie Gu, Alice Wang, Satyendra Datla, Gordon Gammie, Dennis Buss, Anantha Chandrakasan. The Effect of Random Dopant Fluctuations on Logic Timing at Low Voltage
925 -- 936Saumya Chandra, Anand Raghunathan, Sujit Dey. Variation-Aware Voltage Level Selection
937 -- 947Cheng-Wen Wei, Sheng-Jie Su, Tian-Sheuan Chang, Shyh-Jye Jou. Sub $\mu$ W Noise Reduction for CIC Hearing Aids
948 -- 958Tai-You Lu, Wei-Zen Chen. A 3-10 GHz, 14 Bands CMOS Frequency Synthesizer With Spurs Reduction for MB-OFDM UWB System
959 -- 963Terng-Yin Hsu, Shau-Yu Cheng. Low-Complexity Sequential Searcher for Robust Symbol Synchronization in OFDM Systems
964 -- 968Won Young Lee, Lee-Sup Kim. An Adaptive Equalizer With the Capacitance Multiplication for DisplayPort Main Link in 0.18-$\mu$m CMOS
969 -- 973Jaehyouk Choi, Woonyun Kim, Kyutae Lim. A Spur Suppression Technique Using an Edge-Interpolator for a Charge-Pump PLL

Volume 20, Issue 4

581 -- 592Iris Hui-Ru Jiang, Hua-Yu Chang, Chih-Long Chang. WiT: Optimal Wiring Topology for Electromigration Avoidance
593 -- 604Insup Shin, Seungwhun Paik, Dongwan Shin, Youngsoo Shin. HLS-dv: A High-Level Synthesis Framework for Dual-Vdd Architectures
605 -- 615Mingoo Seok, Scott Hanson, David Blaauw, Dennis Sylvester. Sleep Mode Analysis and Optimization With Minimal-Sized Power Gating Switch for Ultra-Low ${V}_{\rm dd}$ Operation
616 -- 629Taniya Siddiqua, Sudhanva Gurumurthi. Enhancing NBTI Recovery in SRAM Arrays Through Recovery Boosting
630 -- 642Avesta Sasan, Kiarash Amiri, Houman Homayoun, Ahmed M. Eltawil, Fadi J. Kurdahi. Variation Trained Drowsy Cache (VTD-Cache): A History Trained Variation Aware Drowsy Cache for Fine Grain Voltage Scaling
643 -- 654Shuai Wang, Jie S. Hu, Sotirios G. Ziavras. Replicating Tag Entries for Reliability Enhancement in Cache Tag Arrays
655 -- 664Yuan-Ho Chen, Tsin-Yuan Chang. A High Performance Video Transform Engine by Using Space-Time Scheduling Strategy
665 -- 672Chang-Hsin Cheng, Yu Liu, Chun-Lung Hsu. Design of an Error Detection and Data Recovery Architecture for Motion Estimation Testing Applications
673 -- 683Ta-Wen Kuan, Jhing-Fa Wang, Jia-Ching Wang, Po-Chuan Lin, Gaung-Hui Gu. VLSI Design of an SVM Learning Core on Sequential Minimal Optimization Algorithm
684 -- 696Mame Maria Mbaye, Normand Bélanger, Yvon Savaria, Samuel Pierre. Loop Acceleration Exploration for ASIP Architecture
697 -- 710Kai Liu, Evgeniy Belyaev, Jie Guo. VLSI Architecture of Arithmetic Coder Used in SPIHT
711 -- 722Ang-Chih Hsieh, TingTing Hwang. TSV Redundancy: Architecture and Design Issues in 3-D IC
723 -- 736Zhaobo Zhang, Zhanglei Wang, Xinli Gu, Krishnendu Chakrabarty. Physical-Defect Modeling and Optimization for Fault-Insertion Test
737 -- 741Moo-young Kim, HoKyu Lee, Chulwoo Kim. PVT Variation Tolerant Current Source With On-Chip Digital Self-Calibration
741 -- 745Hailong Jiao, Volkan Kursun. Threshold Voltage Tuning for Faster Activation With Lower Noise in Tri-Mode MTCMOS Circuits
746 -- 750Shao-Chang Huang, Ke-Horng Chen, Wei-Yao Lin, Zon-Lon Lee, Kun-Wei Chang, Erica Hsu, Wenson Lee, Lin-Fwu Chen, Chris Lu. Embedded I/O PAD Circuit Design for OTP Memory Power-Switch Functionality
750 -- 754Golnar Khodabandehloo, Mitra Mirhassani, Majid Ahmadi. Analog Implementation of a Novel Resistive-Type Sigmoidal Neuron
755 -- 759Jinjin He, Huaping Liu, Zhongfeng Wang, Xinming Huang, Kai Zhang. High-Speed Low-Power Viterbi Decoder Design for TCM Decoders
760 -- 764Junhui Gu, Jianhui Wu, Danhong Gu, Meng Zhang, Longxing Shi. All-Digital Wide Range Precharge Logic 50% Duty Cycle Corrector
765 -- 769Sang-Hyun Cho, Chang-Kyo Lee, Sang-Gug Lee, Seung-Tak Ryu. A Two-Channel Asynchronous SAR ADC With Metastable-Then-Set Algorithm
770 -- 774Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry. On-Chip Process Variations Compensation Using an Analog Adaptive Body Bias (A-ABB)

Volume 20, Issue 3

393 -- 399Jung-Won Han, Kwisung Yoo, Dongmyung Lee, Kangyeop Park, Wonseok Oh, Sung Min Park. A Low-Power Gigabit CMOS Limiting Amplifier Using Negative Impedance Compensation and Its Application
400 -- 409Muhammad Khurram, S. M. Rezaul Hasan. m-Boosted CG LNA for Ultrawideband in 130 nm CMOS
410 -- 423Stelios Neophytou, Maria K. Michael. Test Pattern Generation of Relaxed n-Detect Test Sets
424 -- 436Alodeep Sanyal, Kunal P. Ganeshpure, Sandip Kundu. Test Pattern Generation for Multiple Aggressor Crosstalk Effects Considering Gate Leakage Loading in Presence of Gate Delays
437 -- 448Jose Luis Nunez-Yanez, Atukem Nabina, Eddie Hung, George Vafiadis. Cogeneration of Fast Motion Estimation Processors and Algorithms for Advanced Video Coding
449 -- 458M. Anwar Hasan, Ashkan Hosseinzadeh Namin, Christophe Nègre. Toeplitz Matrix Approach for Binary Field Multiplication Using Quadrinomials
459 -- 472Ke-Ren Dai, Wen-Hao Liu, Yih-Lang Li. NCTU-GR: Efficient Simulated Evolution-Based Rerouting and Congestion-Relaxed Layer Assignment on 3-D Global Routing
473 -- 484Jai-Ming Lin, Zhi-Xiong Hung. SKB-Tree: A Fixed-Outline Driven Representation for Modern Floorplanning Problems
485 -- 497Iris Hui-Ru Jiang, Hua-Yu Chang. ECOS: Stable Matching Based Metal-Only ECO Synthesis
498 -- 511Jiying Xue, Yangdong Deng, Zuochang Ye, Hongrui Wang, Liu Yang, Zhiping Yu. A Framework for Layout-Dependent STI Stress Analysis and Stress-Aware Circuit Optimization
512 -- 522Koushik Chakraborty, Sanghamitra Roy. Stack Aware Threshold Voltage Assignment in 3-D Multicore Designs
523 -- 536Atanu Chattopadhyay, Zeljko Zilic. Flexible and Reconfigurable Mismatch-Tolerant Serial Clock Distribution Networks
537 -- 550Jason Helge Anderson, Qiang Wang, Chirag Ravishankar. Raising FPGA Logic Density Through Synthesis-Inspired Architecture
551 -- 563Xuan Guan, Yunsi Fei, Hai Lin 0004. Hierarchical Design of an Application-Specific Instruction Set Processor for High-Throughput and Scalable FFT Processing
564 -- 568Sebastian Hoyos, Cheongyuen W. Tsang, Johan Vanderhaegen, Yun Chiu, Yasutoshi Aibara, Haideh Khorramabadi, Borivoje Nikolic. 2 Split-Control, Fast Coarse Locking Digital DLL in 0.13 µ m CMOS
568 -- 573Francisco Garcia-Herrero, María José Canet, Javier Valls, Pramod Kumar Meher. High-Throughput Interpolator Architecture for Low-Complexity Chase Decoding of RS Codes
573 -- 577Amine Dehbaoui, Victor Lomné, Thomas Ordas, Lionel Torres, Michel Robert, Philippe Maurine. Enhancing Electromagnetic Analysis Using Magnitude Squared Incoherence

Volume 20, Issue 2

197 -- 210Raghavendra Kulkarni, Jusung Kim, Hyung Joon Jeon, Jianhong Xiao, José Silva-Martínez. UHF Receiver Front-End: Implementation and Analog Baseband Design Considerations
211 -- 224Thom Jefferson A. Eguia, Sheldon X.-D. Tan, Ruijing Shen, Duo Li, Eduardo H. Pacheco, Murli Tirumala, Lingli Wang. General Parameterized Thermal Modeling for High-Performance Microprocessor Design
225 -- 235Jungseob Lee, Nam Sung Kim. Analyzing Potential Throughput Improvement of Power- and Thermal-Constrained Multicore Processors by Exploiting DVFS and PCPG
236 -- 247Xiang Fu, Huawei Li, Xiaowei Li 0001. Testable Path Selection and Grouping for Faster Than At-Speed Testing
248 -- 256Sang Phill Park, Dongsoo Lee, Kaushik Roy. Soft-Error-Resilient FPGAs Using Built-In 2-D Hamming Product Code
257 -- 269Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel. AdNoC: Runtime Adaptive Network-on-Chip Architecture
270 -- 283Phi-Hung Pham, Jongsun Park, Phuong Mau, Chulwoo Kim. Design and Implementation of Backtracking Wave-Pipeline Switch to Support Guaranteed Throughput in Network-on-Chip
284 -- 295Elio Consoli, Gaetano Palumbo, Melita Pennisi. Reconsidering High-Speed Design Criteria for Transmission-Gate-Based Master-Slave Flip-Flops
296 -- 309Ning Chen, Zhiyuan Yan, Maximilien Gadouleau, Ying Wang, Bruce W. Suter. Rank Metric Decoder Architectures for Random Linear Network Coding With Error Control
310 -- 318Younghoon Lee, Jungsoo Kim, Chong-Min Kyung. Energy-Aware Video Encoding for Image Quality Improvement in Battery-Operated Surveillance Camera
319 -- 332Jaydeep P. Kulkarni, Kaushik Roy. Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design
333 -- 343Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye. Adaptive Performance Compensation With In-Situ Timing Error Predictive Sensors for Subthreshold Circuits
344 -- 356Jim Le, Christopher Hanken, Martin Held, Michael S. Hagedorn, Kartikeya Mayaram, Terri S. Fiez. Experimental Characterization and Analysis of an Asynchronous Approach for Reduction of Substrate Noise in Digital Circuitry
357 -- 361Antonis M. Paschalis, Ioannis Voyiatzis, Dimitris Gizopoulos. Accumulator Based 3-Weight Pattern Generation
361 -- 366Yin-Tsung Hwang, Jin-Fa Lin, Ming-Hwa Sheu. Low-Power Pulse-Triggered Flip-Flop Design With Conditional Pulse-Enhancement Scheme
366 -- 371Yu-Chi Tsao, Ken Choi. Area-Efficient Parallel FIR Digital Filter Structures for Symmetric Convolutions Based on Fast FIR Algorithm
371 -- 375B. Ramkumar, Harish M. Kittur. Low-Power and Area-Efficient Carry Select Adder
376 -- 380Manthena Vamshi Krishna, Manh Anh Do, Chirn Chye Boon, Kiat Seng Yeo. A Low-Power Single-Phase Clock Multiband Flexible Divider
380 -- 385Ehsan Pakbaznia, Massoud Pedram. Design of a Tri-Modal Multi-Threshold CMOS Switch With Application to Data Retentive Power Gating
385 -- 389Chung-Yi Li, Yuan-Ho Chen, Tsin-Yuan Chang, Lih-Yuan Deng, Kiwing To. Period Extension and Randomness Enhancement Using High-Throughput Reseeding-Mixing PRNG

Volume 20, Issue 12

2157 -- 2169Songwei Pei, Huawei Li, Xiaowei Li 0001. Flip-Flop Selection for Partial Enhanced Scan to Reduce Transition Test Data Volume
2170 -- 2183Mingjing Chen, Alex Orailoglu. Scan Power Reduction for Linear Test Compression Schemes Through Seed Selection
2184 -- 2197Hui-Hsiang Tung, Rung-Bin Lin, Mei-Chen Li, Tsung-Han Heish. Standard Cell Like Via-Configurable Logic Blocks for Structured ASIC in an Industrial Design Flow
2198 -- 2207Haile Yu, Philip Heng Wai Leong, Qiang Xu. An FPGA Chip Identification Generator Using Configurable Ring Oscillators
2208 -- 2219Matteo Tomasi, Mauricio Vanegas, Francisco Barranco, Javier Díaz, Eduardo Ros. Real-Time Architecture for a Robust Multi-Scale Stereo Engine on FPGA
2220 -- 2231Reza Hashemian. Application of Fixator-Norator Pairs in Designing Active Loads and Current Mirrors in Analog Integrated Circuits
2232 -- 2240Shoushun Chen, Wei Tang, Xiangyu Zhang, Eugenio Culurciello. A 64 ˟ 64 Pixels UWB Wireless Temporal-Difference Digital Image Sensor
2241 -- 2254Rimesh M. Joshi, Arjuna Madanayake, Jithra Adikari, Leonard T. Bruton. Synthesis and Array Processor Realization of a 2-D IIR Beam Filter for Wireless Applications
2255 -- 2264Fu-Wei Chen, Shih-Liang Chen, Yung-Sheng Lin, TingTing Hwang. A Physical-Location-Aware X-Bit Redistribution for Maximum IR-Drop Reduction
2265 -- 2277Ethiopia Nigussie, Sampo Tuuna, Juha Plosila, Jouni Isoaho, Hannu Tenhunen. Semi-Serial On-Chip Link Implementation for Energy Efficiency and High Throughput
2278 -- 2288Nicholas Axelos, Kiamal Z. Pekmestzi, Dimitris Gizopoulos. Efficient Memory Repair Using Cache-Based Redundancy
2289 -- 2301Siddharth Garg, Diana Marculescu. System-Level Leakage Variability Mitigation for MPSoC Platforms Using Body-Bias Islands
2302 -- 2314Chengen Yang, Yunus Emre, Chaitali Chakrabarti. Product Code Schemes for Error Correction in MLC NAND Flash Memories
2315 -- 2328Sokehwan Kim, Hyunho Chu, Isaak Yang, Sanghoon Hong, Sung Hoon Jung, Kwang-Hyun Cho. A Hierarchical Self-Repairing Architecture for Fast Fault Recovery of Digital Systems Inspired From Paralogous Gene Regulatory Circuits
2329 -- 2332Tse-Wei Chen, Yu-Chi Su, Keng-Yen Huang, Yi-Min Tsai, Shao-Yi Chien, Liang-Gee Chen. Visual Vocabulary Processor Based on Binary Tree Architecture for Real-Time Object Recognition in Full-HD Resolution
2333 -- 2337Nam Sung Kim, Stark C. Draper, Shi-Ting Zhou, Sumeet Katariya, Hamid Reza Ghasemi, Taejoon Park. Analyzing the Impact of Joint Optimization of Cell Size, Redundancy, and ECC on Low-Voltage SRAM Array Total Area
2337 -- 2341Joseph Reddington, Kubilay Atasu. Complexity of Computing Convex Subgraphs in Custom Instruction Synthesis
2342 -- 2346Luca Gaetano Amarù, Maurizio Martina, Guido Masera. High Speed Architectures for Finding the First two Maximum/Minimum Values
2346 -- 2351Wai-Kei Mak, Chris Chu. Rethinking the Wirelength Benefit of 3-D Integration
2351 -- 2355Toru Tanzawa. A Behavior Model of an On-Chip High Voltage Generator for Fast, System-Level Simulation

Volume 20, Issue 11

1929 -- 1937Chingwei Yeh, Yuan-Chang Chen, Jinn-Shyan Wang. Towards Process Variation-Aware Power Gating
1938 -- 1950Xinmiao Zhang, Fang Cai, Shu Lin. Low-Complexity Reliability-Based Message-Passing Decoder Architectures for Non-Binary LDPC Codes
1951 -- 1959Hyunbean Yi, Tomokazu Yoneda, Michiko Inoue, Yasuo Sato, Seiji Kajihara, Hideo Fujiwara. A Failure Prediction Strategy for Transistor Aging
1960 -- 1973Jianxin Fang, Sachin S. Sapatnekar. Scalable Methods for Analyzing the Circuit Failure Probability Due to Gate Oxide Breakdown
1974 -- 1985Stuart N. Wooters, Adam C. Cabe, Zhenyu Qi, Jiajing Wang, Randy W. Mann, Benton H. Calhoun, Mircea R. Stan, Travis N. Blalock. Tracking On-Chip Age Using Distributed, Embedded Sensors
1986 -- 1996Aashish Pant, Puneet Gupta, Mihaela van der Schaar. AppAdapt: Opportunistic Application Adaptation in Presence of Hardware Variation
1997 -- 2010Marcel Gort, Flavio M. de Paula, Johnny J. W. Kuan, Tor M. Aamodt, Alan J. Hu, Steven J. E. Wilton, Jin Yang. Formal-Analysis-Based Trace Computation for Post-Silicon Debug
2011 -- 2019Suknam Kwon, Sungjoo Yoo, Sunggu Lee, Jinpyo Park. Optimizing Video Application Design for Phase-Change RAM-Based Main Memory
2020 -- 2030Zhenyu Sun, Hai Li, Yiran Chen, XiaoBin Wang. Voltage Driven Nondestructive Self-Reference Sensing Scheme of Spin-Transfer Torque Memory
2031 -- 2043P. Aubertin, J. M. Pierre Langlois, Yvon Savaria. Real-Time Computation of Local Neighborhood Functions in Application-Specific Instruction-Set Processors
2044 -- 2053Kyungho Ryu, Jisu Kim, Jiwan Jung, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. A Magnetic Tunnel Junction Based Zero Standby Leakage Current Retention Flip-Flop
2054 -- 2065S.-H. Lee, S. Vishwanath. Boolean Functions Over Nano-Fabrics: Improving Resilience Through Coding
2066 -- 2079Michael B. Healy, Sung Kyu Lim. Distributed TSV Topology for 3-D Power-Supply Networks
2080 -- 2093Rohit Sunkam Ramanujam, Bill Lin. Randomized Partially-Minimal Routing: Near-Optimal Oblivious Routing for 3-D Mesh Networks
2094 -- 2103Jingwei Lu, Wing-Kai Chow, Chiu-Wing Sham. Fast Power- and Slew-Aware Gated Clock Tree Synthesis
2104 -- 2117Saket Gupta, Sachin S. Sapatnekar. Compact Current Source Models for Timing Analysis Under Temperature and Body Bias Variations
2118 -- 2122Weiguo Tang, Jie Huang, Lei Wang 0003, Shengli Zhou. A Nonbinary LDPC Decoder Architecture With Adaptive Message Control
2123 -- 2127Yu-Jen Huang, Jin-Fu Li. Low-Cost Self-Test Techniques for Small RAMs in SOCs Using Enhanced IEEE 1500 Test Wrappers
2128 -- 2132Marco Bucci, Luca Giancane, Raimondo Luzzi, Alessandro Trifiletti. A Flip-Flop for the DPA Resistant Three-Phase Dual-Rail Pre-Charge Logic Family
2133 -- 2137Erick Amador, Raymond Knopp, Renaud Pacalet, Vincent Rezard. Dynamic Power Management for the Iterative Decoding of Turbo Codes
2138 -- 2142Irith Pomeranz. Non-Uniform Coverage by n -Detection Test Sets
2143 -- 2147Xiaoming Chen, Yu Wang 0002, Yu Cao, Yuchun Ma, Huazhong Yang. Variation-Aware Supply Voltage Assignment for Simultaneous Power and Aging Optimization
2147 -- 2151Wei Wu, Dinesh Somasekhar, Shih-Lien Lu. Direct Compare of Information Coded With Error-Correcting Codes
2151 -- 2156Ting-Jung Lin, Wei Zhang, N. K. Jha. SRAM-Based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-Power SRAMs

Volume 20, Issue 10

1749 -- 1757Debasri Saha, Susmita Sur-Kolay. Secure Public Verification of IP Marks in FPGA Design Through a Zero-Knowledge Protocol
1758 -- 1771Yang Ge, Qinru Qiu, Qing Wu. A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems
1772 -- 1780Shmuel Wimer, Israel Koren. The Optimal Fan-Out of Clock Network for Power Minimization by Adaptive Gating
1781 -- 1793Yu-Huei Lee, Shao-Chang Huang, Shih-Wei Wang, Ke-Horng Chen. Fast Transient (FT) Technique With Adaptive Phase Margin (APM) for Current Mode DC-DC Buck Converters
1794 -- 1803Kian Haghdad, Mohab Anis. Power Yield Analysis Under Process and Temperature Variations
1804 -- 1817Stefan Erb, Wolfgang Pribyl. Design Specification for BER Analysis Methods Using Built-In Jitter Measurements
1818 -- 1827Kuo-Hsing Cheng, Kai-Wei Hong, Chi-Fa Hsu, Bo-Qian Jiang. An All-Digital Clock Synchronization Buffer With One Cycle Dynamic Synchronizing
1828 -- 1834Mingyu Wang, Hao Min. Applying Effective Dynamic Frequency Scaling Method in Contactless Smart Card
1835 -- 1848Abhilash Goyal, Madhavan Swaminathan, Abhijit Chatterjee, Duane C. Howard, John D. Cressler. A New Self-Healing Methodology for RF Amplifier Circuits Based on Oscillation Principles
1849 -- 1862Qi Zhang, Roger Woods, Alan J. Marshall. An On-Demand Queue Management Architecture for a Programmable Traffic Manager
1863 -- 1875Ang-Chih Hsieh, TingTing Hwang. Run-Time Reconfiguration of Expandable Cache for Embedded Systems
1876 -- 1880Xin Zhang, Koichi Ishida, Hiroshi Fuketa, Makoto Takamiya, Takayasu Sakurai. On-Chip Measurement System for Within-Die Delay Variation of Individual Standard Cells in 65-nm CMOS
1880 -- 1885Biswajit Maity, Pradip Mandal. A High Performance Switched Capacitor-Based DC-DC Buck Converter Suitable for Embedded Power Management Applications
1885 -- 1890Nam Sung Kim, Abhishek A. Sinkar, Jun Seomun, Youngsoo Shin. Maximizing Frequency and Yield of Power-Constrained Designs Using Programmable Power-Gating
1890 -- 1894Hongxia Fang, Krishnendu Chakrabarty, Abhijit Jas, Srinivas Patil, Chandra Tirumurti. Functional Test-Sequence Grading at Register-Transfer Level
1895 -- 1899Irith Pomeranz. Generation of Mixed Test Sets for Transition Faults
1899 -- 1903Madhu Mutyam. Fibonacci Codes for Crosstalk Avoidance
1903 -- 1908Young In Cho, Nam Su Chang, Chang-Han Kim, Young-Ho Park, Seokhie Hong. n)
1909 -- 1913Shun-Hsun Yang, Yu-Jen Huang, Jin-Fu Li. A Low-Power Ternary Content Addressable Memory With Pai-Sigma Matchlines
1914 -- 1918J. A. Kumar, S. Vasudevan. Formal Performance Analysis for Faulty MIMO Hardware
1919 -- 1923Kangwoo Park, In-Cheol Park. Low-Complexity Tone Reservation for PAPR Reduction in OFDM Communication Systems
1923 -- 1928I.-Chyn Wey, Chun-Chien Wang. Low-Error and Hardware-Efficient Fixed-Width Multiplier by Using the Dual-Group Minor Input Correction Vector to Lower Input Correction Vector Compensation Error

Volume 20, Issue 1

1 -- 9Craig Schlottmann, David N. Abramson, Paul E. Hasler. A MITE-Based Translinear FPAA
10 -- 18Craig Schlottmann, Csaba Petre, Paul E. Hasler. A High-Level Simulink-Based Tool for FPAA Configuration
19 -- 28Hongbin Sun, Chuanyin Liu, Wei Xu, Jizhong Zhao, Nanning Zheng, Tong Zhang 0002. Using Magnetic RAM to Build Low-Power and Soft Error-Resilient L1 Cache
29 -- 41Afshin Nourivand, Asim J. Al-Khalili, Yvon Savaria. Postsilicon Tuning of Standby Supply Voltage in SRAMs to Reduce Yield Losses Due to Parametric Data-Retention Failures
42 -- 51Maurice Meijer, José Pineda de Gyvez. Body-Bias-Driven Design Strategy for Area- and Performance-Efficient CMOS Circuits
52 -- 65Kubilay Atasu, Wayne Luk, Oskar Mencer, Can C. Özturan, Günhan Dündar. FISH: Fast Instruction SyntHesis for Custom Processors
66 -- 79Jinwook Jang, Olivier Franza, Wayne Burleson. Compact Expressions for Supply Noise Induced Period Jitter of Global Binary Clock Trees
80 -- 88Kun-Hung Tsai, Shen-Iuan Liu. A 104-GHz Phase-Locked Loop Using a VCO at Second Pole Frequency
89 -- 97Wei Yao, Yiyu Shi, Lei He, Sudhakar Pamarti. Worst-Case Estimation for Data-Dependent Timing Jitter and Amplitude Noise in High-Speed Differential Link
98 -- 111Zheng Li, Moustafa Mohamed, Xi Chen, Eric Dudley, Ke Meng, Li Shang, Alan Rolf Mickelson, Russ Joseph, Manish Vachharajani, Brian Schwartz, Yihe Sun. Reliability Modeling and Management of Nanophotonic On-Chip Networks
112 -- 125Hassan Salmani, Mohammad Tehranipoor, Jim Plusquellic. A Novel Technique for Improving Hardware Trojan Detection and Reducing Trojan Activation Time
126 -- 134Geng-Ming Chiu, James Chien-Mo Li. A Secure Test Wrapper Design Against Internal and Boundary Scan Attacks for Embedded Cores
135 -- 147Mahdi Shabany, P. Glenn Gulak. A 675 Mbps, 4 $\times$ 4 64-QAM K-Best MIMO Detector in 0.13 $\mu{\rm m}$ CMOS
148 -- 156Shih-Fu Liu, Pedro Reviriego, Juan Antonio Maestro. Efficient Majority Logic Fault Detection With Difference-Set Codes for Memory Applications
157 -- 161Faiz-ul Hassan, Wim Vanderbauwhede, Fernando Rodríguez Salazar. Impact of Random Dopant Fluctuations on the Timing Characteristics of Flip-Flops
162 -- 166M. Costagliola, D. de Caro, Antonio Girardi, Roberto Izzi, N. Rinaldi, M. Spirito, P. Spirito. An Experimental Power-Lines Model for Digital ASICs Based on Transmission Lines
167 -- 171Tung-Hua Yeh, Sying-Jyan Wang. Power-Aware High-Level Synthesis With Clock Skew Management
172 -- 176Irith Pomeranz, Sudhakar M. Reddy. Resolution of Diagnosis Based on Transition Faults
176 -- 181Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki. Robust Secure Scan Design Against Scan-Based Differential Cryptanalysis
181 -- 186Jisu Kim, Kyungho Ryu, Seung-Hyuk Kang, Seong-Ook Jung. A Novel Sensing Circuit for Deep Submicron Spin Transfer Torque MRAM (STT-MRAM)
186 -- 191Xiaoxia Wu, Wei Zhao, Mark Nakamoto, Chandra Nimmagadda, Durodami Lisk, Sam Gu, Riko Radojcic, Matt Nowak, Yuan Xie. Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs
191 -- 196Andrew B. Kahng, Bin Li, Li-Shiuan Peh, Kambiz Samadi. ORION 2.0: A Power-Area Simulator for Interconnection Networks