Abstract is missing.
- Towards the development of next-generation remote healthcare system: Some practical considerationsKoushik Maharatna, Evangelos B. Mazomenos, John M. Morgan, Silvio Bonfiglio. 1-4 [doi]
- Energy expenditure estimation with wearable accelerometersMitja Lustrek, Bozidara Cvetkovic, Simon Kozina. 5-8 [doi]
- A 150nW CMOS novel temperature sensor for remote patient monitoring based on an auto-resonant active inductor architectureErik Fernández, Héctor Solar, Joaquín de No, Iñaki Gutiérrez, Roc Berenguer. 9-12 [doi]
- Combined NIRS-EEG remote recordings for epilepsy and stroke real-time monitoringMohamad Sawan, Muhammad Tariqus Salam, Sebastien Gelinas, Jerome Le Lan, Frederic Lesage, Dang Khoa Nguyen. 13-16 [doi]
- A memory-efficient continuous-flow FFT processor for Wimax applicationShen-Jui Huang, Sau-Gee Chen. 17-20 [doi]
- High-speed tournament givens rotation-based QR Decomposition Architecture for MIMO ReceiverMin-Woo Lee, Ji-Hwan Yoon, Jongsun Park. 21-24 [doi]
- High performance compressive sensing reconstruction hardware with QRD processJerome L. V. M. Stanislaus, Tinoosh Mohsenin. 29-32 [doi]
- Dynamic partial reconfigurable FFT/IFFT pruning for OFDM based Cognitive radioC. Vennila, Kumar Palaniappan CT, Kodati Vamsi Krishna, G. Lakshminarayanan, Seok-Bum Ko. 33-36 [doi]
- A novel digital IIR filter design strategy - Structure-based discrete coefficient filtersGang Li, Yong Ching Lim, Chaogeng Huang, Hong Xu. 37-40 [doi]
- Efficient design of sparse FIR filters in WLS senseAimin Jiang, Hon Keung Kwan. 41-44 [doi]
- Design of high order and wide coefficient wordlength multiplierless FIR filters with low hardware cost using genetic algorithmWen Bin Ye, Ya Jun Yu. 45-48 [doi]
- Pipelined adder graph optimization for high speed multiple constant multiplicationMartin Kumm, Peter Zipf, Mathias Faust, Chip-Hong Chang. 49-52 [doi]
- Broadband beamfoming using Nested Planar Arrays and 3D FIR frustum filtersIman Moazzen, Panajotis Agathoklis. 53-56 [doi]
- STF behaviour in optimised for ELD cascaded CT Delta-Sigma ModulatorsAndrew C. R. Angus, H. Martin Reekie. 57-60 [doi]
- 14-bit DR, 20 kHz BW, 2-2 MASH SI-Σ modulator using low-distortion feedforward topologyRafael T. Blumer, César Augusto Prior, Joao B. Martins. 61-64 [doi]
- A 10MHz BW 78dB DR CT ΣΔ modulator with novel switched high linearity VCO-based quantizerTao He, Yang Jiang, Yun Du, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. 65-68 [doi]
- A wide output range, mismatch tolerant Sigma Delta DAC for digital PLL in 90nm CMOSAnant S. Kamath, Biman Chattopadhyay. 69-72 [doi]
- An error estimation technique for lowpass and bandpass ΣΔ ADC feedback DACs using a residual test signalPascal Witte, John G. Kauffman, Timon Brückner, Joachim Becker, Maurits Ortmanns. 73-76 [doi]
- Hardware Implementation of High Throughput RC4 algorithmThi Hong Ha Tran, Leonardo Lanante, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi. 77-80 [doi]
- Power-security trade-off in multi-level power analysis countermeasures for FSR-based stream ciphersShohreh Sharif Mansouri, Elena Dubrova. 81-84 [doi]
- Statistical screening for IC Trojan detectionYoungjune Gwon, H. T. Kung, Dario Vlah, Keng-Yen Huang, Yi-Min Tsai. 85-88 [doi]
- m) for a wider class of trinomials using parallel register sharingJiafeng Xie, Pramod Kumar Meher, Jianjun He. 89-92 [doi]
- High performance prime field multiplication for GPUKarl Leboeuf, Roberto Muscedere, Majid Ahmadi. 93-96 [doi]
- Exploiting stable features for iris recognition of defocused imagesBo Liu, Siew Kei Lam, Thambipillai Srikanthan, Weiqi Yuan. 97-100 [doi]
- Cell segmentation and NC ratio analysis of third harmonic generation virtual biopsy images based on marker-controlled gradient watershed algorithmHuan-Hsiang Lin, Ming-Rung Tsai, Chun-Fu Chen, Szu-Yu Chen, Yi-Hua Liao, Gwo Giun Lee, Chi-Kuang Sun. 101-104 [doi]
- A second-generation imaging system for freely moving animalsJoon Hyuk Park, Jelena Platisa, Vincent A. Pieribone, Eugenio Culurciello. 105-108 [doi]
- A low-power subsample-based image compression algorithm for capsule endoscopyAtahar Mostafa, Khan A. Wahid, Seok-Bum Ko. 109-112 [doi]
- Design of orthogonal coded excitation for synthetic aperture imaging in ultrasound systemsMing Yang, Chaitali Chakrabarti. 113-116 [doi]
- Reducing configuration contexts for coarse-grained reconfigurable architectureShouyi Yin, Chongyong Yin, Leibo Liu, Min Zhu, Yansheng Wang, Shaojun Wei. 121-124 [doi]
- Post-silicon skew tuning algorithm utilizing setup and hold timing testsMineo Kaneko, Jian Li. 125-128 [doi]
- Modeling discrete event system with distributions using SystemVerilogJomu George Mani Paret, Otmane Aït Mohamed. 129-132 [doi]
- Complexity-reduced geometry partition search and high efficiency prediction for video codingQifei Wang, Ming-Ting Sun, Gary J. Sullivan, Jin Li. 133-136 [doi]
- A novel Slepian-Wolf decoding algorithm exploiting geometric regularity constraints with anisotropic MRF modelingYongsheng Zhang, Hongkai Xiong, Chang Wen Chen. 137-140 [doi]
- Picture orientation information in video compressionDanny Hong, Jill M. Boyce, Stephan Wenger. 141-144 [doi]
- Content-aware layered compound video compressionShiqi Wang, Jingjing Fu, Yan Lu, Shipeng Li, Wen Gao. 145-148 [doi]
- Efficient video compression methods for a lightweight tele-immersive video chat systemViet Anh Nguyen, Jiangbo Lu, Minh N. Do. 149-152 [doi]
- 3D reconstruction from planar points: A candidate method for authentication of fingerprint images captured by mobile devicesYao Chen, Fengling Han, Haibin Liu, Jinhu Lu. 153-156 [doi]
- Chaotic symbolic dynamics modulation in MIMO systemsGeorges Kaddoum, Mai Vu, François Gagnon. 157-160 [doi]
- Nonlinear dynamics and limit cycle bifurcation of a fractional-order three-node recurrent neural networkMin Xiao, Wei Xing Zheng. 161-164 [doi]
- Cluster synchronization and controllability of complex multi-agent networksWeiguo Xia, Ming Cao. 165-168 [doi]
- Exploring evolutionary dynamics in a class of structured populationsShaolin Tan, Jinhu Lu, Xinghuo Yu, David J. Hill. 169-172 [doi]
- A robot swarm as a cellular multicore processorJordi Albo-Canals, J. Navarro, D. Serra-Puig, Xavier Vilasís-Cardona. 173-176 [doi]
- Hybrid processor population for odor processingTuba Ayhan, Ramazan Yeniceri, Selman Ergunay, Mustak Erhan Yalcin. 177-180 [doi]
- Novel algorithm for the real time multi-feature detection in laser beam weldingLeonardo Nicolosi, Ronald Tetzlaff, Felix Abt, Andreas Heider, Andreas Blug, Heinrich Höfler. 181-184 [doi]
- A GPU implementation of color digital halftoning using the Direct Binary Search algorithmKartheek Chandu, Mikel Stanich, Barry M. Trager, Chai Wah Wu. 185-188 [doi]
- Volume and power optimized high-performance system for UAV collision avoidanceZoltán Nagy, András Kiss, Ákos Zarándy, Tamas Zsedrovits, Balint Vanek, Tamas Peni, Jozsef Bokor, Tamás Roska. 189-192 [doi]
- An ultra-low-power front-end neural interface with automatic gain for uncalibrated monitoringSivylla E. Paraskevopoulou, Timothy G. Constandinou. 193-196 [doi]
- A sub-0.5V, 1.5µW rail-to-rail constant gm opamp and its filter applicationEdward K. F. Lee. 197-200 [doi]
- Performance enhanced op-amp for 65nm CMOS technologies and belowAldo Pena-Perez, Franco Maloberti. 201-204 [doi]
- Settling time and noise optimization of a three-stage operational transconductance amplifierSiddharth Seth, Boris Murmann. 205-208 [doi]
- A 1.57mW 99dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillatorsMing-Huang Li, Cheng-Syun Li, Li-Jen Hou, Yu-Chia Liu, Sheng-Shian Li. 209-212 [doi]
- Line-frequency instability of three-phase PFC power supplies connecting to non-ideal power gridMeng Huang, Chi Kong Tse, Siu Chung Wong. 213-216 [doi]
- Losses analysis and low standby losses quasi-resonant flyback converter designGuan-Chun Huang, Tsorng-Juu Liang, Kai-Hui Chen. 217-220 [doi]
- Dynamic sawtooth compensation (DSC) technique with self-tuning mode selection (SMS) for current-mode Buck-Boost converterYi-Ping Su, Shih-Wei Wang, Yu-Huei Lee, Ke-Horng Chen. 221-224 [doi]
- Compensation technique for optimized efficiency and voltage controllability of IPT systemsWei Zhang, Siu Chung Wong, Chi Kong Tse, Qianhong Chen. 225-228 [doi]
- Co-simulation of RFIC with bondwire antenna via retarded PEEC methodY. Zhang, N. H. W. Fong, David C. W. Ng, Ngai Wong. 229-232 [doi]
- An IR-UWB transmitter with digital pulse duration controlDavid Correia, Marcelo dal Alba, Miguel A. Martins, Taimur Gibran Rabuske, Cesar Ramos Rodrigues, Jorge R. Fernandes. 233-236 [doi]
- Transformer feedback based CMOS amplifiersVenumadhav Bhagavatula, Jacques C. Rudell. 237-240 [doi]
- Systematic analysis of the impact of mixing locality on Mixing-DAC linearity for multicarrier GSMElbert Bechthum, Georgi I. Radulov, Joost Briaire, Govert Geelen, Arthur H. M. van Roermund. 241-244 [doi]
- A novel low gate-count serializer topology with Multiplexer-Flip-FlopsWei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu, Ying-Fang Tsao. 245-248 [doi]
- NetVP: A system-level NETwork Virtual Platform for network accelerator developmentChen-Chieh Wang, Sheng-Hsin Lo, Yao-Ning Liu, Chung-Ho Chen. 249-252 [doi]
- CoRaS: A multiprocessor key corruption and random round swapping for power analysis side channel attacks: A DES case studyJude Angelo Ambrose, Aleksandar Ignjatovic, Sri Parameswaran. 253-256 [doi]
- Compiler and microarchitectural approaches for register file thermal managementIngoo Heo, Sanghyun Park, Yunheung Paek. 257-260 [doi]
- A power management architecture for fast per-core DVFS in heterogeneous MPSoCsSebastian Höppner, Chenming Shao, Holger Eisenreich, Georg Ellguth, Mario Ander, René Schüffny. 261-264 [doi]
- Two-level configuration for FPGA: A new design methodology based on a computing fabricMathieu Allard, Patrick Grogan, Yvon Savaria, Jean-Pierre David. 265-268 [doi]
- Hardware-efficient random sampling of fourier-sparse signalsPatrick Maechler, Norbert Felber, Hubert Kaeslin, Andreas Burg. 269-272 [doi]
- Compressive sensing based classification of intramuscular electromyographic signalsKeith Wilhelm, Yehia Massoud. 273-276 [doi]
- Reconstruction of block-sparse signals by using an l2/p-regularized least-squares algorithmJeevan K. Pant, Wu-Sheng Lu, Andreas Antoniou. 277-280 [doi]
- Reconstruction of compressively sensed complex-valued terahertz dataA. Khwaja, X. P. Zhang. 281-284 [doi]
- On sparsity issues in compressive sensing based speech enhancementDalei Wu, Wei-Ping Zhu, M. N. S. Swamy. 285-288 [doi]
- A 1-V 1.1-MHz BW digitally assisted multi-bit multi-rate hybrid CT ΣΔ with 78-dB SFDROscar Belotti, Edoardo Bonizzoni, Franco Maloberti. 289-292 [doi]
- Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receiversYang Xu, Baoyong Chi, Zhihua Wang. 293-296 [doi]
- Digitally-switched resonators for bandpass integrated transmission line ΣΔ modulatorsAli Zahabi, Farabi Ibne Jamal, Joachim Becker, Muhammad Anis, Maurits Ortmanns. 297-300 [doi]
- Design methodology for Sigma-Delta modulators based on a genetic algorithm using hybrid cost functionsJoão L. A. de Melo, Blazej Nowacki, Nuno F. Paulino, João Goes. 301-304 [doi]
- A power-optimized reconfigurable CT ΔΣ modulator in 65nm CMOSRui Wang, Xiaoke Wen, Kamran Azadet, Changzhi Li, Jinghong Chen. 305-308 [doi]
- A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOSShijie Hu, Chen Jia, Ke Huang, Chun Zhang, Xuqiang Zheng, Zhihua Wang. 309-312 [doi]
- A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technologyKe Huang, Chen Jia, Xuqiang Zheng, Ni Xu, Chun Zhang, Woogeun Rhee, Zhihua Wang. 313-316 [doi]
- A 25 Gb/s full-rate CDR circuit based on quadrature phase generation in data pathArash Zargaran-Yazd, Shahriar Mirabbasi. 317-320 [doi]
- A 20 Gbps 1-tap decision feedback equalizer with unfixed tap coefficientYong Hun Kim, Lee-Sup Kim. 321-324 [doi]
- A class of downsampled floating tap DFE architectures with application to serial linksPervez M. Aziz, Hiroshi Kimura, Amaresh V. Malipatil, Shiva Kotagiri. 325-328 [doi]
- Iris feature extraction based on gray-scale morphological skeletonNozomi Hayashi, Akira Taguchi. 329-332 [doi]
- The design of an in-line accelerometer-based inclination sensing systemXu Yao, Guangmin Sun, Wen-Yen Lin, Wen-Cheng Chou, Kin-fong Lei, Ming-Yih Lee. 333-336 [doi]
- Improved Cole-Cole parameter extraction from frequency response using least squares fittingTodd J. Freeborn, Brent Maundy, Ahmed S. Elwakil. 337-340 [doi]
- Real-time obstructive sleep apnea detection based on ECG derived respiration signalTeng-chieh Huang, Hsiao-yu Chen, Wai-Chi Fang. 341-344 [doi]
- Non-linear filter based outer product expansion with reference signal for EEG analysisAkitoshi Itai, Arao Funase, Andrzej Cichocki, Hiroshi Yasukawa. 345-348 [doi]
- NBTI-aware dual threshold voltage assignment for leakage power reductionWen-Pin Tu, Shih-Wei Wu, Shih-Hsu Huang, Mely Chen Chi. 349-352 [doi]
- Analog layout retargeting with geometric programming and constrains symbolization methodShaoxi Wang, Xiaoya Fan, Shengbing Zhang, Ming-e Jing. 353-356 [doi]
- Thermal aware timing budget for buffer insertion in early stage of physical designMinbeom Kim, Byung-Gyu Ahn, Jaehwan Kim, Bongki Lee, Jong-Wha Chong. 357-360 [doi]
- Damping the cavity-mode anti-resonances' peaks on a power plane by swarm intelligence algorithmsJai Narayan Tripathi, Nitin Kumar Chhabra, Raj Kumar Nagpal, Rakesh Malik, Jayanta Mukherjee. 361-364 [doi]
- A power-efficient sizing methodology of SAR ADCsChun-Po Huang, Soon-Jyh Chang, Guan-Ying Huang, Cheng-Wu Lin. 365-368 [doi]
- Adaptive depth map filter for blocking artifacts removal and edge preservingWei Hu, Oscar C. Au, Lin Sun, Wenxiu Sun, Lingfeng Xu, Yujun Li. 369-372 [doi]
- High-quality view synthesis algorithm and architecture for 2D to 3D conversionYeong-Kang Lai, Yu-Fan Lai, Jung-Wei Lin. 373-376 [doi]
- Multiview texture coding and free viewpoint image synthesis for mesh-based 3D video transmissionJui-Chiu Chiang, Ping-He Hou, Kai-Che Liu, Wen-Nung Lie. 377-380 [doi]
- Low complexity image rectification for multi-view video codingMinsu Choi, Jinsang Kim, Won-Kyung Cho, Yunmo Chung. 381-384 [doi]
- A new stereo packing format based on checkerboard sub-sampling for efficient stereo video codingAn-Ti Chiang, Hung-Ming Wang, Jar-Ferr Yang, Jhing-Fa Wang. 385-388 [doi]
- Bifurcation in standalone photovoltaic-battery hybrid power systemsXiaoling Xiong, Chi Kong Tse, Xinbo Ruan. 389-392 [doi]
- Application of chaotic maps for simultaneous lossy image compression and encryptionChing-Hung Yuen, Oi-Yan Lui, Kwok-Wo Wong. 393-396 [doi]
- Bifurcations and chaos in electrostatic vibration energy harvestersElena Blokhina, Dimitri Galayko, Rhona Wade, Philippe Basset, Orla Feely. 397-400 [doi]
- Trapping region for the double scroll attractorZbigniew Galias. 401-404 [doi]
- Energy saving controlling chaosDaisuke Ito, Jun-ichi Imura, Tetsushi Ueta, Kazuyuki Aihara. 405-408 [doi]
- Error control coding and signal processing for flash memoriesBeomkyu Shin, Changkyu Seol, Jung-Soo Chung, Jun Jin Kong. 409-412 [doi]
- Low-cost, low-power and high-throughput BCH decoder for NAND Flash MemoryKijun Lee, Sejin Lim, Jaehong Kim. 413-415 [doi]
- Improved hard-decision decoding LDPC Codec IP designDaehyun Kim, Biwoong Chung, Roy E. Kim. 416-419 [doi]
- Challenges and limitations of NAND flash memory devices based on floating gatesByoungjun Park, Sunghoon Cho, Milim Park, Sukkwang Park, Yunbong Lee, Myoungkwan Cho, Kunok Ahn, Gihyun Bae, Sungwook Park. 420-423 [doi]
- Novel integration technologies for improving reliability in NAND flash memoryHyunyoung Shim, Myoungkwan Cho, Kunok Ahn, Gihyun Bae, Sungwook Park. 424-427 [doi]
- Class A+ amplifier with controlled positive feedback for discrete-time signal processing circuitsHariprasath Venkatram, Taehwan Oh, Jon Guerber, Un-Ku Moon. 428-431 [doi]
- Using moderate inversion to optimize voltage gain, thermal noise, and settling time in two-stage CMOS amplifiersYi Yang, David M. Binkley, Changzhi Li. 432-435 [doi]
- Constant and maximum bandwidth feedback amplifier with adaptive frequency compensationSalvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. 436-439 [doi]
- A compact linearly tunable low voltage triode OTA using self-cascodesJohn Richard E. Hizon, Esther Rodríguez-Villegas. 440-443 [doi]
- Switched-capacitor dc-dc converters with output inductive filterLoai Salem, Yehea Ismail. 444-447 [doi]
- Fully digital voltage-mode control based on predictive hysteresis method (FDVC-PH) for DC-DC convertersMing Liu, Tatsuo Nakagawa, Kenichi Osada. 448-451 [doi]
- A GIDL free tunneling gate driver for a low power non-volatile memory arrayHadar Dagan, Adam Teman, Alexander Fish, Evgeny Pikhay, Vladislav Dayan, Yakov Roizin. 452-455 [doi]
- A high-speed converter with light-load improvement circuit and transient detectorChu-Hsiang Chia, Pui-Sun Lei, Robert Chen-Hao Chang. 456-459 [doi]
- Efficiency of switched-inductor dc-dc converter ICs across process technologiesSuhwan Kim, Gabriel A. Rincón-Mora. 460-463 [doi]
- An inductorless frequency divider with 15GHz locking range using 90nm CMOS technologyHeng-Ming Hsu, Yi-Te Chou, Yo-Hao Hsu, Yue-Shiang Shu. 464-467 [doi]
- A +21.2 dBm out-of-band IIP3 0.2-3GHz RF front-end using impedance translation techniqueLong Chen, Chuan Wang, Chen Li, Le Ye, Huailin Liao, Ru Huang. 468-471 [doi]
- A 0.02-to-6GHz SDR balun-LNA using a triple-stage inverter-based amplifierMiguel A. Martins, Pui-In Mak, Rui Paulo Martins. 472-475 [doi]
- A robust and large range optimally mismatched RF energy harvester with resonance control loopMark Stoopman, Wouter A. Serdijn, Kathleen Philips. 476-479 [doi]
- Lower-bits cache for low power STT-RAM cachesJunwhan Ahn, Kiyoung Choi. 480-483 [doi]
- Full-custom design of low leakage data preserving ground gated 6T SRAM cells to facilitate single-ended write operationsHailong Jiao, Volkan Kursun. 484-487 [doi]
- Low-power variation-aware flip flopYoungkyu Jang, Changnoh Yoon, Jinsang Kim, Won-Kyung Cho. 488-491 [doi]
- Energy-delay efficient asynchronous-logic 16×16-bit pipelined multiplier based on Sense Amplifier-Based Pass Transistor LogicWeng-Geng Ho, Kwen-Siong Chong, Tong Lin, Bah-Hwee Gwee, Joseph S. Chang. 492-495 [doi]
- Low power 10-transistor full adder design based on degenerate pass transistor logicJin-Fa Lin, Yin-Tsung Hwang, Ming-Hwa Sheu. 496-499 [doi]
- Visual-weighted motion compensation frame interpolation with motion vector refinementWei Bai, Jiaying Liu, Jie Ren, Zongming Guo. 500-503 [doi]
- An adaptive down-sampling based video coding with hybrid super-resolution methodZeng Hu, Houqiang Li, Weiping Li. 504-507 [doi]
- A two level mode decision algorithm for H.264 high profile intra encodingCheng-Yen Chang, Cheng-An Chien, Hsiu-Cheng Chang, Jia-Wei Chen, Jiun-In Guo. 508-511 [doi]
- Kinect-like depth denoisingJingjing Fu, Shiqi Wang, Yan Lu, Shipeng Li, Wenjun Zeng. 512-515 [doi]
- A power-scalable concurrent cascade 2-2-2 SC ΣΔ modulator for Software Defined RadioAlonso Morgado, J. Gerardo García-Sánchez, Sohail Asghar, Luis Guerrero, Rocio del Río, José Manuel de la Rosa. 516-519 [doi]
- A 1.8 V 89.2 dB delta-sigma adc for sensor interface with on-chip referenceYong-Sik Kwak, Kwang-Soo Kim, Gil-Cho Ahn. 520-523 [doi]
- Device noise in continuous-time ΔΣ modulators with Switched-Capacitor feedback DACsRadha S. Rajan, Shanthi Pavan. 524-527 [doi]
- A simple and efficient dithering method for vector quantizer based mismatch-shaped ΔΣ DACsArindam Sanyal, Nan Sun. 528-531 [doi]
- A ΔΣ-cyclic hybrid ADC for parallel readout sensor applicationsMin-Kyu Kim, Min-Seok Shin, Yun-Rae Jo, Jong-Boo Kim, Jaseung Gou, Sangdong Yoo, Oh-Kyong Kwon. 532-535 [doi]
- Design of FlexRay-MOST gateway using static segments and control messagesZe-Hua Dong, Zhe-Yan Piao, In-Gul Jang, Jin-Gyun Chung, Chul-Dong Lee. 536-539 [doi]
- Dual queue based rate selecting schedule for throughput enhancement in WLANsDongwan Kim, Wan-Seon Lim, Jongsun Park. 540-543 [doi]
- QoS-aware network selection for seamless multimedia serviceShin-Hun Kang, Jae-Hyun Kim. 544-547 [doi]
- Information theoretic analysis of concurrent information transfer and power gainFabian Steiner, Amine Mezghani, Josef A. Nossek. 548-551 [doi]
- A hybrid approach to I/Q imbalance self-calibration in reconfigurable low-IF receiversYang Xu, Nan Qi, Zhou Chen, Baoyong Chi, Zhihua Wang. 552-555 [doi]
- A SoC design for portable 2-dimension oximeter image systemChing-ju Cheng, Shih-Yang Wu, Shih Kang, Tien-Ho Chen, Wai-Chi Fang. 556-559 [doi]
- An efficient data extraction method for high-temporal-and-spatial-resolution near infrared spectroscopy (NIRS) systemsJongKwan Choi, MinGyu Choi, Hyeon-Min Bae. 560-563 [doi]
- A many-core platform implemented for multi-channel seizure detectionJordan Bisasky, Darin Chandler, Tinoosh Mohsenin. 564-567 [doi]
- Spectral techniques for classifying short exon and intron sequencesBenjamin Y. M. Kwan, Jennifer Y. Y. Kwan, Hon Keung Kwan. 568-571 [doi]
- Pipelined FPGA design of the Goertzel algorithm for exon predictionHung Tien Bui. 572-575 [doi]
- An energy-efficient high-level synthesis algorithm for huddle-based distributed-register architecturesShin-ya Abe, Masao Yanagisawa, Nozomu Togawa. 576-579 [doi]
- A novel particle swarm optimization for high-level synthesis of digital filtersSeyyed Ali Hashemi, Behrouz Nowrouzian. 580-583 [doi]
- A formal approach to slack-driven high-level synthesisHua-Hsin Yeh, Shih-Hsu Huang, Chun-Hua Cheng. 584-587 [doi]
- Multiple real-constant multiplication with improved cost model and greedy and optimal searchesMatthew B. Gately, Mark B. Yeary, C. Y. Tang. 588-591 [doi]
- Verification of fixed-point datapaths with comparator units using Constrained Arithmetic Transform (CAT)Omid Sarbishei, Katarzyna Radecka. 592-595 [doi]
- A theoretical and empirical error analysis of mobile 3D data acquisition systemYiyi Ren, Wenshou Chen, Xiang Xie, Guolin Li, Yangdong Deng, Kai Zhao, Enbo Shi, Zhihua Wang. 596-599 [doi]
- Disparity map acquisition with occlusion handling using warping constraintWoo-seok Jang, Yo-Sung Ho. 600-603 [doi]
- Texture-assisted Kinect depth inpaintingDan Miao, Jingjing Fu, Yan Lu, Shipeng Li, Chang Wen Chen. 604-607 [doi]
- Low latency design of Depth-Image-Based Rendering using hybrid warping and hole-fillingShen-Fu Hsiao, Jin-Wen Cheng, Wen-Ling Wang, Guan-Fu Yeh. 608-611 [doi]
- Keyframe selection for motion capture using motion activity analysisMing-Hwa Kim, Lap-Pui Chau, Wan-Chi Siu. 612-615 [doi]
- Experimental validation of pinning controllability in networked Chua's circuitsPietro De Lellis, Massimiliano de Magistris, Mario di Bernardo, Sabato Manfredi. 616-619 [doi]
- Analysis of synchronization phenomenon in coupled oscillator chainsKosuke Matsumura, Takahiro Nagai, Yoko Uwate, Yoshifumi Nishio. 620-623 [doi]
- Channel equalization and timing recovery technique for chaotic communications systemsZhiwen Zhu, Henry Leung. 624-627 [doi]
- Analysis of limit cycles in a PI digitally controlled buck converterMark Bradley, Eduard Alarcón, Orla Feely. 628-631 [doi]
- Performance comparison of approximation algorithms for the minimum weight vertex cover problemSatoshi Taoka, Toshimasa Watanabe. 632-635 [doi]
- NoC architectures with adaptive Code Division Multiple Access based wireless linksAnuroop Vidapalapati, Vineeth Vijayakumaran, Amlan Ganguly, Andres Kwasinski. 636-639 [doi]
- A unified design methodology for a hybrid wireless 2-D NoCAnkit More, Baris Taskin. 640-643 [doi]
- Proposal and evaluation of a task migration protocol for NoC-based MPSoCsFernando Gehm Moraes, Guilherme A. Madalozzo, Guilherme M. Castilhos, Everton Alceu Carara. 644-647 [doi]
- Task-binding based branch-and-bound algorithm for NoC mappingLiyang Zhou, Ming-e Jing, Liulin Zhong, Zhiyi Yu, Xiaoyang Zeng. 648-651 [doi]
- Floorplan-aware hierarchical NoC topology with GALS interfacesDebora Matos, Cezar Reinbrecht, Gianluca Palermo, Jonathan Martinelli, Altamiro Amadeu Susin, Cristina Silvano, Luigi Carro. 652-655 [doi]
- th-order Gm-C BPF: Moving closer to the FoM's fundamental limitChutham Sawigun, Wannaya Ngamkham, Wouter A. Serdijn. 656-659 [doi]
- An adaptive microphone preamplifier for low power applicationsDingkun Du, Kofi M. Odame. 660-663 [doi]
- Discrete space continuous time 2D delay block using 2D all-pass frequency planar networksChamith Wijenayake, Arjuna Madanayake, Yongsheng Xu, Leonid Belostotski, Leonard T. Bruton. 664-667 [doi]
- A 1.8V-0.18µm CMOS lock-in amplifier for portable applicationsPaulina Mariana Maya-Hernandez, María Teresa Sanz-Pascual, Belén Calvo López. 668-671 [doi]
- th-order chebyshev analog baseband IC with proposed push-pull op-amp for Software-Defined Radio in 65nm CMOSLe Ye, Yixiao Wang, Long Chen, Huailin Liao, Ru Huang. 672-675 [doi]
- High-damping energy-harvesting electrostatic CMOS chargerKarl D. Peterson, Gabriel A. Rincón-Mora. 676-679 [doi]
- An RF-to-DC energy harvester for co-integration in a low-power 2.4 GHz transceiver frontendJens Masuch, Manuel Delgado-Restituto, Dusan M. Milosevic, Peter G. M. Baltus. 680-683 [doi]
- Electronically tunable switch-mode high-efficiency adaptive band-pass filters for energy harvesting applicationsRaul Gomez Cid-Fuentes, Herminio Martínez, Alberto Poveda, Eduard Alarcón. 684-687 [doi]
- Ripple-based prediction of fast-scale instabilities in current mode controlled switching convertersEnric Rodriguez, Herminio Martínez, Francisco Guinjoan, Alberto Poveda, Abdelali El Aroudi, Eduard Alarcón. 688-691 [doi]
- Differential zero compensator in delay-ripple reshaped constant on-time control for buck converter with multi-layer ceramic capacitorsWei-Chung Chen, Chia-Ching Lin, Ke-Horng Chen. 692-695 [doi]
- Live demonstration: A FSK-OOK ultra wideband impulse radio system with spontaneous clock and data recoveryWei Tang, Shoushun Chen, Eugenio Culurciello. 696-700 [doi]
- Live demonstration: A real-time moving object localization and extraction systemBo Zhao, Shoushun Chen. 701 [doi]
- Live demonstration: A scaled-down version of the BrainScaleS wafer-scale neuromorphic systemJohannes Schemmel, Andreas Grübl, Stephan Hartmann, Alexander Kononov, Christian Mayr, Karlheinz Meier, Sebastian Millner, Johannes Partzsch, Stefan Schiefer, Stefan Scholze, René Schüffny, Marc-Olivier Schwartz. 702 [doi]
- Event-driven body motion analysis for real-time gesture recognitionBernhard Kohn, Ahmed Nabil Belbachir, Thomas Hahn, Hannes Kaufmann. 703-706 [doi]
- Live demonstration: Hierarchical Address-Event Routing architecture for reconfigurable large scale neuromorphic systemsJongkil Park, Theodore Yu, Christoph Maier, Siddharth Joshi, Gert Cauwenberghs. 707-711 [doi]
- A straightforward approach of Automatic Parking System - "Training-Recording-Play back"Ray-Shine Run, Yi-Chih Chang, Feng-Chi Cheng. 712-715 [doi]
- Live demonstration: "Internet Booster" a novel WEB application platform accelerated by reconfigurable virtual hardware circuitsHakaru Tamukoh, Nadav Bergstein, Kotoko Fujita, Masatoshi Sekine. 716 [doi]
- High resolution distance sensing for mini-robots using Time Difference of ArrivalGeorge Sineriz, Michael Kuhlman, Pamela Abshire. 717-720 [doi]
- Live demonstration: On the distance estimation of moving targets with a Stereo-Vision AER systemM. Domínguez-Morales, Angel Jiménez-Fernandez, Rafael Paz-Vicente, Gabriel Jiménez, Alejandro Linares-Barranco. 721-725 [doi]
- Live demonstration: A bio-inspired asynchronous pixel event tri-color vision sensorJuan Antonio Leñero-Bardallo, Dag Halvdan Bryn, Philipp Häfliger. 726 [doi]
- Real-time 360° panoramic views using BiCa360, the fast rotating dynamic vision sensor to up to 10 rotations per SecAhmed Nabil Belbachir, Manfred Mayerhofer, Daniel Matolin, J. Colineau. 727-730 [doi]
- CARE: A dynamic stereo vision sensor system for fall detectionAhmed Nabil Belbachir, Martin Litzenberger, Stephan Schraml, Michael Hofstätter, Michael D. Bauer, Peter Schön, Martin Humenberger, Christoph Sulzbachner, Tommi Lunden, M. Merne. 731-734 [doi]
- Live demonstration: High fill factor CIS based on single inverter architectureSang-Jin Lee, Omid Kavehei, Kamran Eshraghian, Kyoung-Rok Cho. 735 [doi]
- Live demonstration: Behavioural emulation of event-based vision sensorsMatthew L. Katz, Konstantin Nikolic, Tobi Delbrück. 736-740 [doi]
- Live demonstration: Gesture-based remote control using stereo pair of dynamic vision sensorsJunhaeng Lee, Tobi Delbrück, Paul K. J. Park, Michael Pfeiffer, Chang-Woo Shin, Hyunsurk Ryu, Byung-Chang Kang. 741-745 [doi]
- Recent progress in terahertz monolithic integrated circuitsMoonil Kim, Jae-Sung Rieh, Sanggeun Jeon. 746-749 [doi]
- Multi-channel DVB-T transmitter design based on the SW/HW co-design methodSeo Weon Heo. 750-753 [doi]
- A model-first design and verification flow for analog-digital convergence systems: A high-speed receiver example in digital TVsJaeha Kim, Sigang Ryu, Byoung-Joo Yoo, Hanseok Kim, Yunju Choi, Deog Kyoon Jeong. 754-757 [doi]
- SNR-based adaptive modulation for wireless LAN systemsChanhong Kim, Kyowon Jeong, Kyungjun Ko, Jungwoo Lee. 758-761 [doi]
- Transpose-free SAR imaging on FPGA platformChi-Li Yu, Chaitali Chakrabarti. 762-765 [doi]
- Efficient scissoring scheme for scanline-based rendering of 2D vector graphicsWen-Ching Lin, Jheng-Hao Ye, Der-Wei Yang, Si-Yu Huang, Ming-Der Shieh, Jonas Wang. 766-769 [doi]
- A practical hardware design for the keypoint detection in the SIFT algorithm with a reduced memory requirementEung Sup Kim, Hyuk-Jae Lee. 770-773 [doi]
- Rotation-invariant hand posture classification with a convexity defect histogramJuhyeon Hong, Eung Sup Kim, Hyuk-Jae Lee. 774-777 [doi]
- Hardware-efficient filterbank design for fast recursive MDST and IMDST algorithmsShin-Chi Lai, Yi-Ping Yeh, Sheau-Fang Lei. 778-781 [doi]
- Variable fractional delay FIR filters with sparse coefficientsWu-Sheng Lu, Takao Hinamoto. 782-785 [doi]
- Bi-minimax design of odd-order variable fractional-delay digital filtersTian-Bo Deng. 786-789 [doi]
- Bias free adaptive exponential notch filter with low constant delayKazuki Shiogai, Naoto Sasaoka, Yoshio Itoh, Yasutomo Kinugasa, Masaki Kobayashi. 790-793 [doi]
- ∞ Filters for markovian jump systems with time-varying delays and parametric uncertaintiesBaoyong Zhang, Wei Xing Zheng. 794-797 [doi]
- A 12-bit, 270MS/s pipelined ADC with SHA-eliminating front endXuan Wang, Changyi Yang, Xiaoxiao Zhao, Chao Wu, Fule Li, Zhihua Wang, Bin Wu. 798-801 [doi]
- A 12b 60MS/s SHA-less opamp-sharing pipeline A/D with switch-embedded dual input OTAsXiaoke Wen, Rui Wang, Renguo Peng, Min Hao, Jinghong Chen. 802-805 [doi]
- Novel overshoot cancellation in comparator-based pipelined ADCXian Tang, Kong-Pang Pun. 806-809 [doi]
- Correlated jitter sampling for jitter cancellation in pipelined TDCTaehwan Oh, Hariprasath Venkatram, Jon Guerber, Un-Ku Moon. 810-813 [doi]
- RNS encoding based folding ADCChan Hua Vun, A. B. Premkumar. 814-817 [doi]
- An enhanced covariance spectrum sensing technique based on stochastic resonance in cognitive radio networksDi He, Winston Li, Fusheng Zhu, Weiyao Lin. 818-821 [doi]
- Mixed-domain receiver architecture for white space software-defined radio scenariosRoberto Gómez-Garcia, José M. N. Vieira, Nuno Borges Carvalho, José Pedro Magalhães. 822-825 [doi]
- Subsampling based Software Defined Radio with jitter compensationDuan Zhao, Wouter A. Serdijn, Guido Dolmans. 826-829 [doi]
- Acquisition of multiband signals with minimum sub-Nyquist samplingJosé M. Muñoz-Ferreras, Roberto Gómez-Garcia, Félix Pérez-Martínez. 830-833 [doi]
- A triple-band flexible low-noise transmitter with linearity enhancementYilei Li, Chuansheng Dong, Kefeng Han, Cheng Zhang, Yongchang Yu, Xi Tan, Na Yan, Hao Min. 834-837 [doi]
- A hybrid multi-tanh bulk-driven input stage OTA for Low-THD biomedical Gm-C applicationsLuis H. C. Ferreira, Sameer R. Sonkusale. 838-841 [doi]
- A low-power current-mode front-end acquisition system for biopotential signal recordingWei-Ming Chen, Liang-Ting Kuo, Chung-Yu Wu. 842-845 [doi]
- A new shared-input amplifier architecture with enhanced noise-power efficiency for parallel biosignal recordingsJonathan Coulombe, Olivier Rossel, Serge Bernard, Fabien Soulier, Guy Cathébras. 846-849 [doi]
- Offset correction of low power, high precision op amp using digital assist for biomedical applicationsMatt Duwe. 850-853 [doi]
- Current readout circuit using two-stage amplification method for 64-channel CNT arraysYoung San Shin, Seongsoo Lee, Jae-Kyung Wee. 854-857 [doi]
- Image-driven simplification with single viewpointCheen-Hau Tan, Lap-Pui Chau. 858-861 [doi]
- Content-based spam filtering using hybrid generative discriminative learning of both textual and visual featuresOla Amayri, Nizar Bouguila. 862-865 [doi]
- Dynamic textures indexing and retrieval based on intrinsic propertiesMuwei Jian, Kin-Man Lam, Junyu Dong. 866-869 [doi]
- Constrained active contours for boundary refinement in interactive image segmentationNguyen Thi Nhat Anh, Jianfei Cai, Juyong Zhang, Jianmin Zheng. 870-873 [doi]
- A comparison of SVM and asymmetric SIMPLS in emotion recognition from naturalistic dialoguesDong-Yan Huang, Wei Sun. 874-877 [doi]
- Counter based adaptation for CAVLC in HEVCBin Li, Jizheng Xu, Houqiang Li. 878-881 [doi]
- Complexity analysis of next-generation HEVC decoderMarko Viitanen, Jarno Vanne, Timo D. Hämäläinen, Moncef Gabbouj, Jani Lainema. 882-885 [doi]
- Compression performance of high efficiency video coding (HEVC) working draft 4Bin Li, Gary J. Sullivan, Jizheng Xu. 886-889 [doi]
- Scalability support in HEVCDanny Hong, Wonkap Jang, Jill M. Boyce, Adeel Abbas. 890-893 [doi]
- Improved near-lossless HEVC codec for depth map based on statistical analysis of residual dataJung-Ah Choi, Yo-Sung Ho. 894-897 [doi]
- Topology detection of complex networks with hidden variables and stochastic perturbationsXiaoqun Wu, Weihan Wang, Wei Xing Zheng. 898-901 [doi]
- A degree-based genetic algorithm for constrained pinning control in complex networksCuili Yang, Wallace Kit-Sang Tang. 902-905 [doi]
- Effect of assortativity on traffic performance in scale-free networksYongxiang Xia, Chi Kong Tse, Francis Chung-Ming Lau. 906-909 [doi]
- Bridge time series and complex networks with a frequency-degree mapping algorithmDong Yang, Xiang Li. 910-913 [doi]
- Clustering phenomena in complex networks of chaotic circuitsYuji Takamaru, Hiroshige Kataoka, Yoko Uwate, Yoshifumi Nishio. 914-917 [doi]
- Sparsity-based online missing sensor data recoveryDi Guo, Xiaobo Qu, Lianfen Huang, Yan Yao, Zicheng Liu, Ming-Ting Sun. 918-921 [doi]
- 2 low-power range finderMilos Davidovic, Michael Hofbauer, Horst Zimmermann. 922-925 [doi]
- A multiresolution algorithm for focal-plane compressionHsuan-Tsung Wang, Walter D. Leon-Salas. 926-929 [doi]
- CMOS 3-T digital pixel sensor with in-pixel shared comparatorDerek Ho, P. Glenn Gulak, Roman Genov. 930-933 [doi]
- Characterization of silicon field effect transistor sub-THz detectors for imaging systemsPéter Földesy. 934-937 [doi]
- A retargeting methodology of nano-watt CMOS reference circuit based on advanced compact MOSFET modelGong Chen, Bo Yang 0004, Shigetoshi Nakatake, Zhangcai Huang, Yasuaki Inoue. 938-941 [doi]
- The effect of correlated level shifting on noise performance in switched capacitor circuitsBenjamin P. Hershberg, Tawfiq Musah, Skyler Weaver, Un-Ku Moon. 942-945 [doi]
- On synthesis of pulse-transforming linear networksIgor M. Filanovsky. 946-949 [doi]
- Wave repetitive process approach to a class of ladder circuitsBartosz Palucki, Krzysztof Galkowski, Anton Kummert, Blazej Cichy. 950-953 [doi]
- Design and characterization of symmetric multi-tap transformersXiaohua Yu, Nathan M. Neihart. 954-957 [doi]
- A pMOS-based double-ladder integrated charge pump for standard processAndrea Bazzini, Jingqi Liu, Stefano Gregori. 958-961 [doi]
- On-chip digital inductor current sensor for monolithic digitally controlled DC-DC ConvertersMan Pun Chan, Philip K. T. Mok. 962-965 [doi]
- A high efficiency adaptive frequency hopping controlled 1/3× step-down switch capacitor DC-DC converter with deep-green mode operationDa-Long Ming, Yu-Huei Lee, Ke-Horng Chen. 966-969 [doi]
- An event-driven ultra-low-current battery management system with reconfigurable linear regulator for multi-cell battery applicationsJun-Hua, Hoi Lee, Ken King. 970-973 [doi]
- A voltage-mode DC-DC converter with enhanced transient responsesKichang Jang, Jungsoo Choi, Chulkyu Park, Joongho Choi. 974-977 [doi]
- Ultra-low power sensor platform with wireless charging systemYoung-Jun Hong, Joonseong Kang, Seong Joong Kim, Sang Joon Kim, Ui-Kun Kwon. 978-981 [doi]
- A new circuit structure for near field wireless power transmissionSeung Keun Yoon, Sang Joon Kim, Ui-Kun Kwon. 982-985 [doi]
- Challenges and directions of ultra low energy wireless sensor nodes for biosignal monitoringSeong Joong Kim, Bumman Kim, Sangwook Nam, Dejan Markovic, Sang-Gug Lee, Jaesup Lee. 986-989 [doi]
- An energy-efficient interface for resonant sensors based on ring-down measurementMichiel A. P. Pertijs, Zeng Zeng, Devrez M. Karabacak, Mercedes Crego Calama, Sywert H. Brongersma. 990-993 [doi]
- A hydrogel-based implantable wireless CMOS glucose sensor SoCPo-Hung Kuo, Shey-Shi Lu, Jui-Chang Kuo, Yao-Joe Yang, Tao Wang, Yi-Lwun Ho, Ming-Fong Chen. 994-997 [doi]
- Hardware-efficient VLSI implementation for 3-parallel linear-phase FIR digital filter of odd lengthYu-Chi Tsao, Ken Choi. 998-1001 [doi]
- An FPGA-based acceleration platform for auction algorithmPengfei Zhu, Chun Zhang, Hua Li, Ray C. C. Cheung, Bryan Hu. 1002-1005 [doi]
- Dynamically adaptable NoC router architecture for multiple pixel streams applicationsNicolas Ngan, Eva Dokladalova, Mohamed Akil. 1006-1009 [doi]
- Efficient TWIN-VQ audio decoder implementation on a configurable processor using instruction extensionYin-Tsung Hwang, Tao-Hsing Huang. 1010-1013 [doi]
- Hardware efficient recursive VLSI architecture for multilevel lifting 2-D DWTAnand D. Darji, Nisarg Trivedi, S. N. Merchant, Arun N. Chandorkar. 1014-1017 [doi]
- Improved speech presence probability estimation based on wavelet denoisingDaniel Pak-Kong Lun, Tak-Wai Shen, Tai-Chiu Hsung, Dominic K. C. Ho. 1018-1021 [doi]
- A new recursive algorithm for time-varying autoregressive (TVAR) model estimation and its application to speech analysisY. J. Chu, Shing-Chow Chan, Z. G. Zhang, Kai Man Tsui. 1026-1029 [doi]
- Detection of voice disorders based on wavelet and prosody-related propertiesCelia Shahnaz, Shaikh Anowarul Fattah, U. Mahbub, Wei-Ping Zhu, M. Omair Ahmad. 1030-1033 [doi]
- Scalable multi-rate iLBCKoji Seto, Tokunbo Ogunfunmi. 1034-1037 [doi]
- A 14 bit self-calibrating charge redistribution SAR ADCStefan Hänzsche, Stephan Henker, René Schüffny, Thomas Reichel, Matthias Garzarolli. 1038-1041 [doi]
- A 10-Bit 200-MS/s digitally-calibrated pipelined ADC using switching opampsBing-Nan Fang, Jieh-Tsorng Wu. 1042-1045 [doi]
- A low power oscillator based TDC with in-system non-linearity correctionMatthias Völker, Johann Hauer. 1046-1049 [doi]
- All-digital background calibration for time-interleaved ADC using pseudo aliasing signalJunya Matsuno, Takafumi Yamaji, Masanori Furuta, Tetsuro Itakura. 1050-1053 [doi]
- Digital foreground calibration methods for SAR ADCsWei Li, Tao Wang, Gabor C. Temes. 1054-1057 [doi]
- Frequency scaling of power reclamation networks in outphasing PA architecturesDavid Tian, L. Richard Carley, David S. Ricketts. 1058-1061 [doi]
- A 213GHz - 228GHz, -91dB/Hz phase noise triple push oscillator in 65nm CMOSSriram Muralidharan, Mona Hella. 1062-1065 [doi]
- An efficient blind fine synchronization scheme for SCBT systemsYing-Tsung Lin, Sau-Gee Chen. 1066-1070 [doi]
- A 50GHz 130µW inductorless prescaler in 45nm SOI CMOS using ETSPC logicElkim Roa, Byunghoo Jung. 1071-1074 [doi]
- Compact chopper-stabilized neural amplifier with low-distortion high-pass filter in 0.13µm CMOSKarim Abdelhalim, Roman Genov. 1075-1078 [doi]
- Bidirectional current conveyer with chopper stabilization and dynamic element matchingHamed Mazhab-Jafari, Roman Genov. 1079-1082 [doi]
- Biphasic stimulator circuit for a wide range of electrode-tissue impedance dedicated to cochlear implantsWannaya Ngamkham, Marijn N. van Dongen, Wouter A. Serdijn. 1083-1086 [doi]
- A 36V biphasic stimulator with electrode monitoring circuitEdward K. F. Lee, Rongching Dai, Natasha Reeves, Xiao Yun. 1087-1090 [doi]
- An energy-efficient, dynamic voltage scaling neural stimulator for a proprioceptive prosthesisIan Williams, Timothy G. Constandinou. 1091-1094 [doi]
- A scalable resource allocation framework for SVC video transmissions over downlink MIMO-OFDM networksMaodong Li, Zhenzhong Chen, Yap-Peng Tan. 1095-1098 [doi]
- Low complexity iterative multimedia resource allocation based on game theoretic approachEunji Kim, Hyunggon Park, Pascal Frossard. 1099-1102 [doi]
- QoE-aware resource allocation for integrated surveillance system over 4G mobile networksPo-Han Wu, Jenq-Neng Hwang, Jae-Young Pyun, Kung-Ming Lan, Jian-Ren Chen. 1103-1106 [doi]
- A low-latency transmission scheme for interactive screen sharingZhaotai Pan, Huifeng Shen, Yan Lu, Shipeng Li. 1107-1110 [doi]
- Optimal resource allocation for multimedia cloud in priority service schemeXiaoming Nan, Yifeng He, Ling Guan. 1111-1114 [doi]
- Human emotion recognition using a deformable 3D facial expression modelTie Yun, Ling Guan. 1115-1118 [doi]
- A novel hardware algorithm for real-time image recognition based on real AdaBoost classificationTakashi Aoki, Eiichi Hosoya, Takuya Otsuka, Akira Onozawa. 1119-1122 [doi]
- Generalized subspace distance for set-to-set image classificationLikun Huang, Jiwen Lu, Gao Yang 0001, Yap-Peng Tan. 1123-1126 [doi]
- Adaptive binary mask for privacy region protectionYongsheng Wang, Máire O'Neill, Fatih Kurugollu. 1127-1130 [doi]
- Robust and discriminative image authentication based on standard model featureLuntian Mou, Xilin Chen, YongHong Tian, Tiejun Huang. 1131-1134 [doi]
- Bifurcations in frequency controlled load resonant DC-DC convertersKuntal Mandal, Soumitro Banerjee, Chandan Chakraborty, Mrityunjoy Chakraborty. 1135-1138 [doi]
- Realization of an analog model of memristor based on light dependent resistorAndrew Lewis Fitch, Herbert Ho-Ching Iu, X. Y. Wang, Victor Sreeram, W. G. Qi. 1139-1142 [doi]
- Finding all modes of nonlinear oscillations by the Krawczyk-Moore-Jones algorithmKohshi Okumura. 1143-1146 [doi]
- Effect of capacitor nonlinearity on the oscillation frequency of a digitally-controlled oscillator using oppositely-coupled PMOS capacitor pairsJeong-Ho Park, Sang-Sun Yoo, Han-Won Cho, Hyung-Joun Yoo. 1147-1150 [doi]
- A low-noise interface circuit for MEMS cochlea-mimicking acoustic sensorsShiwei Wang, Thomas Jacob Koickal, Alister Hamilton, E. Mastropaolo, Rhonira Latif, R. Cheung, Michael J. Newton, Leslie S. Smith. 1151-1154 [doi]
- Analog sensing front-end system for harmonic signal classificationDaniel J. White, Peter E. William, Michael W. Hoffman, Sina Balkir, Nathan Schemm. 1155-1158 [doi]
- Real-time speaker identification using the AEREAR2 event-based silicon cochleaCheng-Han Li, Tobi Delbrück, Shih-Chii Liu. 1159-1162 [doi]
- CMOS monolithic chemiresistor array with microfluidic channel for micro gas chromatographXiaoyi Mu, Nathan L. Ward, Lin Li, Wen Li, Andrew J. Mason, Elizabeth Covington, Gustavo Serrano, Cagliyan Kurdak, Edward T. Zellers. 1163-1166 [doi]
- A self-powered static-strain sensor based on differential linear piezo-floating-gate injectorsPikul Sarkar, Chenling Huang, Shantanu Chakrabartty. 1167-1170 [doi]
- A preamplifier for the front-end readout system of particles tracking in secondary electron detectorsAlejandro Garzon-Camacho, Begoña Fernandez Martinez, Marcos Aurelio Gonzalez Alvarez, Joaquin Ceballos Caceres, Jose Manuel De La Rosa Utrera. 1171-1174 [doi]
- Electronically tunable current-mode universal biquadratic filter using a single CCCFTAMontree Kumngern. 1175-1178 [doi]
- Distortion analysis of the alternative doubly-terminated ladder fully-differential Gm-C filtersTerdpun Choogorn, Jirayuth Mahattanakul. 1179-1182 [doi]
- A modular transconductance reduction technique for very low-frequency Gm-C filtersChutham Sawigun, Wouter A. Serdijn. 1183-1186 [doi]
- th order zero capacitor spread 1MHz - 10MHz tunable CMOS active-RC low pass filter with fast tuning schemeXin Jin, Fa Foster Dai. 1187-1190 [doi]
- Triple loop modulation (TLM) for high reliability and efficiency in Power Factor Correction (PFC) systemJen-Chieh Tsai, Chia-Lung Ni, Chun-Yen Chen, Yi-Ting Chen, Chi-Lin Chen, Ke-Horng Chen. 1191-1194 [doi]
- Anti-windup dual-loop control of DFIG under unbalanced voltage conditionsZhen Li, Siu Chung Wong, Chi Kong Tse. 1195-1198 [doi]
- Simple circuit-based solution to problem of residential load participation in demand responseAnthony S. Deese, Brian Carrigan, Elie Klein, Elliot Stein. 1199-1202 [doi]
- Dynamic characterization of building electrical loads by equivalent energy circuit analysisMohammed Muthalib, Chika Nwankpa. 1203-1206 [doi]
- Design of modular field programmable analog array hardware for analysis of large power systemsAnthony S. Deese, Chika O. Nwankpa, Juan C. Jiménez, Jon Berardino, Jesse Hill. 1207-1210 [doi]
- Image processing and vision techniques for smart vehiclesEhsan ul Haq, Syed Jahanzeb Hussian Pirzada, Jingchun Piao, Teng Yu, Hyunchul Shin. 1211-1214 [doi]
- Local self-similarity based backprojection for image upscalingHyeongKoo Lee, Tae-Chan Kim. 1215-1218 [doi]
- Boosted-PCA for binary classification problemsSeaung Lok Ham, Nojun Kwak. 1219-1222 [doi]
- A new edge directed interpolation algorithm using accurate estimation of edge directional covarianceJonghyun Bae, Yujin Yun, Kyungman Kim, Jaeseok Kim. 1223-1226 [doi]
- A new taxonomy for reconfigurable prefix addersStevo D. Bailey, Mircea R. Stan. 1227-1230 [doi]
- Residue arithmetic for designing multiply-add units in the presence of non-gaussian variationIoannis Kouretas, Vassilis Paliouras. 1231-1234 [doi]
- A fast and compact circuit for integer square root computation based on Mitchell logarithmic methodJoshua Yung Lih Low, Ching-Chuen Jong, Jeremy Yung Shern Low, Thian Fatt Tay, Chip-Hong Chang. 1235-1238 [doi]
- Design and implementation of a Radix-100 division unitZhuo Wang, Liu Han, Seok-Bum Ko. 1239-1242 [doi]
- Correctly rounded constant integer division via multiply-addTheo Drane, Wai-chuen Cheung, George A. Constantinides. 1243-1246 [doi]
- Statistics-based LINC amplifier calibrationXinping Huang, Mario Caron. 1247-1250 [doi]
- A constant-throughput LLL algorithm with deep insertion for LR-aided MIMO detectionChiao-En Chen, Hang Su, Chun-Fu Liao, Yuan-Hao Huang. 1251-1254 [doi]
- Joint data detection and channel estimation for CPM in frequency-flat fading channelWenwen Wang, Saman S. Abeysekera. 1255-1258 [doi]
- Memory and computation reduction for least-square channel estimation of mobile OFDM systemsTao Xu, Zijian Tang, Hao Lu, Rene van Leuken. 1259-1262 [doi]
- Detection of partial-band noise interference in slow FH/QPSK systemsAye Aung, Kah Chan Teh, Kwok Hung Li. 1263-1266 [doi]
- Analysis and Design of a 14-bit SAR ADC using self-calibration DACLei Sun, Kong-Pang Pun, Alex K. Y. Wong. 1267-1270 [doi]
- Digital background calibration of redundant split-flash ADC in 45nm CMOSRabeeh Majidi, Anthony Crasso, John A. McNeill. 1271-1274 [doi]
- A low-power 10-bit 50-MS/s SAR ADC using a parasitic-compensated split-capacitor DACWei Guo, Shahriar Mirabbasi. 1275-1278 [doi]
- Impact of gradient error on switching sequence in high-accuracy thermometer-decoded current-steering DACsMasood Karimian, Saeid Hashemi, Ali Naderi, Mohamad Sawan. 1279-1282 [doi]
- A low-power dynamic comparator with digital calibration for reduced offset mismatchDenis Guangyin Chen, Amine Bermak. 1283-1286 [doi]
- A 9mW direct RF sampling GPS receiver front-end in 0.13µm BiCMOSCarsten Barth, Ivan R. Linscott, Umran S. Inan. 1287-1290 [doi]
- Low-power high-linearity area-efficient multi-mode GNSS RF receiver in 40nm CMOSJinbo Li, Dongpo Chen, Rui Guan, Peng Qin, Zhijian Lu, Jianjun Zhou. 1291-1294 [doi]
- A reconfigurable 60GHz subsampling receiver architecture with embedded channel filteringBaptiste Grave, Antoine Frappe, Andreas Kaiser. 1295-1298 [doi]
- A time-to-digital converter based AFC for wideband frequency synthesizerDeping Huang, Wei Li 0038, Jin Zhou, Ning Li, Junyan Ren, Jinghong Chen. 1299-1302 [doi]
- Effects of quench discretization on superregenerative oscillatorsPere Palà-Schönwälder, Jordi Bonet-Dalmau, Francisco del Águìla López, Ricard Sanahuja, F. Xavier Moncunill-Geniz. 1303-1306 [doi]
- A digital-to-analog converter for a cortical microelectrode stimulatorMiguel A. Martins, Miguel Santos, Jorge R. Fernandes, Moisés S. Piedade. 1307-1310 [doi]
- A continuous-time level-crossing ADC with 1-bit DAC and 3-input comparatorYongjia Li, Wouter A. Serdijn. 1311-1314 [doi]
- A ΔΣ IR-UWB radar with sub-mm ranging capability for human body monitoring systemsWei Zhang, Woogeun Rhee, Zhihua Wang. 1315-1318 [doi]
- A low power 2.4 GHz front end with MEMS lattice based channel filtering at RFAravind Heragu, David Ruffieux, Christian C. Enz. 1319-1322 [doi]
- Gait analysis for patients with Alzheimer'S disease using a triaxial accelerometerPau-Choo Chung, Yu-Liang Hsu, Chun-Yao Wang, Chien-Wen Lin, Jeen-Shing Wang, Ming-Chyi Pai. 1323-1326 [doi]
- Tile-based GPU optimizations through ESL full system simulationHsu-Yao Huang, Chi-Yuan Huang, Chung-Ho Chen. 1327-1330 [doi]
- A new 3-phase design exploration methodology for video processor designWing-Yee Lo, Daniel Pak-Kong Lun, Wan-Chi Siu. 1331-1334 [doi]
- A smart stream controller for efficient implementation of streaming applications on the heterogeneous multicore processorShih-Hao Ou, Che-Wei Yeh, Tay-Jyi Lin, Chih-Wei Liu. 1335-1338 [doi]
- FPGA implementation of heterogeneous multicore platform with SIMD/MIMD custom acceleratorsHasitha Muthumala Waidyasooriya, Yasuhiro Takei, Masanori Hariyama, Michitaka Kameyama. 1339-1342 [doi]
- A simulation-based study for DRAM power reduction strategies in GPGPUsHyojin Choi, Kyuyeon Hwang, Jae-Woo Ahn, Wonyong Sung. 1343-1346 [doi]
- Cost-efficient decimal adder design in Quantum-dot cellular automataWeiqiang Liu, Liang Lu, Máire O'Neill, Earl E. Swartzlander Jr.. 1347-1350 [doi]
- Novel asynchronous registers for sequential circuits with quantum-dot cellular automataRaj Katti, Sarjan Shrestha. 1351-1354 [doi]
- A cryogenic single electron transistor readout circuit: Practical issues and measurement considerationsKushal Das, Torsten Lehmann. 1359-1362 [doi]
- Performance analysis of CNFET based circuits in the presence of fabrication imperfectionsMalgorzata Chrzanowska-Jeske, Rehman Ashraf, Rajeev K. Nain, Siva G. Narendra. 1363-1366 [doi]
- A low-power fast-settling bond-wire frequency synthesizer with a dynamic-bandwidth schemeBo Zhao, Huazhong Yang, Hui Wang. 1367-1370 [doi]
- A 5-10GHz low power bang-bang all digital PLL based on programmable digital loop filterSally Safwat, Amr Lotfy, Maged Ghoneima, Yehea I. Ismail. 1371-1374 [doi]
- Quadrature generation techniques in CMOS relaxation oscillatorsSankaran Aniruddhan. 1375-1378 [doi]
- A fast charge pump PLL using a bang-bang frequency comparator with dead zoneVahideh Sadat Sadeghi, Hossein Miar Naimi, Michael Peter Kennedy. 1379-1382 [doi]
- A transformer-based filtering technique to lower LC-oscillator phase noiseQing Jin, Kuiyuan Yang, Chunyuan Zhou, Dongxu Yang, Lei Zhang, Yan Wang, Zhiping Yu, Weidong Geng. 1383-1386 [doi]
- Investigation of characteristics of tungsten oxide with different work pressures in photoelectrochromic cellJung-Chuan Chou, Po-Hao Shih, Shu-Ying Yang. 1387-1390 [doi]
- Bio-inspired gas recognition based on the organization of the olfactory pathwayJaber Hassan J. Al Yamani, Farid Boussaïd, Amine Bermak, Dominique Martinez. 1391-1394 [doi]
- A programmable mutual capacitance sensing circuit for a large-sized touch panelHyun Kyu Ouh, Jungwoo Lee, Sangyun Han, Hyunjip Kim, Insik Yoon, Soonwon Hong. 1395-1398 [doi]
- A Hall sensor microsystem with continuous gain calibration using fully integrated referencesAndrea Ajbl, Marc Pastre, Maher Kayal. 1399-1402 [doi]
- A new memristor based on NiTi smart alloysEvripides Kyriakides, Constantinos Hadjistassou, Julius Georgiou. 1403-1406 [doi]
- Custom purpose regular expression processor architecture for network processingSakir Sezer, Dwayne Burns. 1407-1411 [doi]
- Application-oriented SHA-256 hardware design for low-cost RFIDXiaolin Cao, Máire O'Neill. 1412-1415 [doi]
- Content-dependent feature selection for block-based image steganalysisSeongho Cho, Martin Gawecki, C. C. Jay Kuo. 1416-1419 [doi]
- Dual video watermarking for CCL protection and manipulation detectionSung-Won Moon, Hee-Dong Kim, Ji Won Lee, Heung-Kyu Lee. 1420-1423 [doi]
- Secure medical information exchange with reversible data hidingHsiang-Cheh Huang, Wai-Chi Fang, Wei-Hao Lai. 1424-1427 [doi]
- HDR-ARtiSt: High dynamic range advanced real-time imaging systemPierre-Jean Lapray, Barthélémy Heyrman, Matthieu Rossé, Dominique Ginhac. 1428-1431 [doi]
- A parallel CAVLC design for 4096×2160p encoderHuibo Zhong, Yibo Fan, Xiaoyang Zeng. 1432-1435 [doi]
- A high speed feature matching architecture for real-time video stabilizationKeng-Yen Huang, Yi-Min Tsai, Tien-Ju Yang, Liang-Gee Chen. 1436-1439 [doi]
- A 775-µW/fps/view H.264/MVC decoder chip compliant with 3D Blu-ray specificationsChi-Cheng Ju, Tsu-Ming Liu, Yung-Chang Chang, Chih-Ming Wang, Chun-Chia Chen, Hue-Min Lin, Chia-Yun Cheng, Min-Hao Chiu, Sheng-Jen Wang, Ping Chao, M. J. Hu, Hao-Wei Li, Chung-Hung Tsai. 1440-1443 [doi]
- Impact of process variations on computers used for image processingSuraj Sindia, Fa Foster Dai, Vishwani D. Agrawal, Virendra Singh. 1444-1447 [doi]
- Designing high-throughput hardware accelerator for stream cipher HC-128Anupam Chattopadhyay, Ayesha Khalid, Subhamoy Maitra, Shashwat Raizada. 1448-1451 [doi]
- Integrated capacitor switchbox for security protectionMatthew Mayhew, Radu Muresan. 1452-1455 [doi]
- A high-performance elliptic curve cryptographic processor over GF(p) with SPA resistanceSzu-Chi Chung, Jen-Wei Lee, Hsie-Chia Chang, Chen-Yi Lee. 1456-1459 [doi]
- Current mode multiple-valued adder for cryptography processorsAshley Novak, Farinoush Saffar, Mitra Mirhassani, Huapeng Wu. 1460-1463 [doi]
- Extendable point-to-multi-point protocol processor for 10G-EPON MAC SoCsNaoki Miura, Akihiko Miyazaki, Junichi Kato, Nobuyuki Tanaka, Masami Urano, Mamoru Nakanishi, Tsugumichi Shibata. 1464-1467 [doi]
- Order reduction for Roesser state-space model based on elementary operationsShi Yan, Li Xu, Yegui Xiao. 1468-1471 [doi]
- Weight sorting based scheme and architecture for distributed particle filtersNing Zheng, Yun Pan, Xiaolang Yan, Ruohong Huan. 1472-1475 [doi]
- CMOS implementation of a fast 4-2 compressor for parallel accumulationsAmir Fathi, Sarkis Azizian, Khayrollah Hadidi, Abdollah Khoei, Amin Chegeni. 1476-1479 [doi]
- Efficient architectures for VLSI implementation of 2-D discrete Hadamard transformBasant K. Mohanty, Pramod Kumar Meher, Subodh Kumar Singhal. 1480-1483 [doi]
- Error-free VLSI architecture for the 2-D Daubechies 4-tap filter using algebraic integersShiva Madishetty, Arjuna Madanayake, Renato J. Cintra, Dale H. Mugler, Vassil S. Dimitrov. 1484-1487 [doi]
- Low-power and low-area CMOS quadrature RC oscillator with capacitive couplingJoão Casaleiro, Luís Bica Oliveira, Igor M. Filanovsky. 1488-1491 [doi]
- A low-power RF front-end with merged LNA, differential power splitter, and quadrature mixer for IEEE 802.15.4 (ZigBee) applicationsShuenn-Yuh Lee, Liang-Hung Wang, Tsung-Yen Chen, Chih-Tao Yu. 1492-1495 [doi]
- A 3µW fully-differential RF envelope detector for ultra-low power receiversBarend van Liempd, Maja Vidojkovic, Maarten Lont, Cui Zhou, Pieter Harpe, Dusan M. Milosevic, Guido Dolmans. 1496-1499 [doi]
- A 115µW UWB Programmable Gain Amplifier for intelligent tire personal area networkMarcello De Matteis, Giuseppe Cocciolo, Stefano D'Amico, Andrea Baschirotto, M. Sabatini. 1500-1503 [doi]
- A 3.3 µW dual-modulus frequency divider with 189% locking range for MICS band applicationsM. Shahriar Jahan, Jeremy H. Holleman. 1504-1507 [doi]
- An efficient QR decomposition design for MIMO systemsJing-Shiun Lin, Yin-Tsung Hwang, Po-Han Chu, Ming-Der Shieh, Shih-Hao Fang. 1508-1511 [doi]
- A synchronization scheme based on Gaussian pulses for cooperative MIMO OFDM systemsChin-Liang Wang, Ying-Yi Chen, Hung-Chin Wang. 1512-1515 [doi]
- An improved coarse synchronization scheme in 3GPP LTE downlink OFDM systemsNa Ding, Chen Chen, Wenhua Fan, Yun Chen, Xiaoyang Zeng. 1516-1519 [doi]
- Low complexity FFT/IFFT processor for high-speed OFDM system using efficient multiplier schedulingJea Hack Lee, Eun Ji Kim, Myung Hoon Sunwoo. 1520-1523 [doi]
- An SFBC-OFDM receiver with MLSE equalizer to combat multiple frequency offsetsJyun-Yu Lee, Hsin-De Lin, Tzu-Hsien Sang. 1524-1527 [doi]
- An axon emulator for evaluation of nerve recording systemsRobert Rieger, Jing-Yuan Chen. 1528-1531 [doi]
- NeuroBetaMed: A re-configurable wavelet-based event detection circuit for in vitro biological signalsAdam Quotb, Yannick Bornat, Matthieu Raoux, Jochen Lang, Sylvie Renaud. 1532-1535 [doi]
- A blink restoration system with contralateral EMG triggered stimulation and real-time software based artifact blankingJun Jia, Xin Yi, Mengde Wang, Guoxing Wang, Simin Deng, Guofang Shen. 1536-1539 [doi]
- A 2.1µW real-time reconfigurable wearable BAN controller with dual linked list structureSeulki Lee, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo. 1540-1543 [doi]
- A CMOS architecture allowing parallel DNA comparison for on-chip assemblyYuanqi Hu, Yan Liu, Christofer Toumazou, Pantelis Georgiou. 1544-1547 [doi]
- Joint rate-distortion optimization for H.264/AVC intra coding based on cluster computingWei Xiao, Jizheng Xu, Feng Wu, Guangming Shi. 1548-1551 [doi]
- Base-layer motion estimation with limited enhancement-layer search window for hardware H.264/SVC encoderDo-Kyoung Kwon, Hyung J. Kim. 1552-1555 [doi]
- Data reusable search scan methods for low power motion estimationSung Dae Kim, Jin-Wook Baek, Jin Wook Burm, Myung Hoon Sunwoo. 1556-1559 [doi]
- Fast sub-pixel motion estimation with simplified modeling in HEVCWei Dai, Oscar C. Au, Sijin Li, Lin Sun, Ruobing Zou. 1560-1563 [doi]
- Mode dependent deblocking filter for video codingQingbo Wu, Hongliang Li. 1564-1567 [doi]
- A framework to study time-dependent variability in circuits at sub-35nm technology nodesTong Boon Tang, Alan F. Murray, Binjie Cheng, Asen Asenov. 1568-1571 [doi]
- Soft error tolerant latch design with low cost for nanoelectronic systemsHaiqing Nan, Ken Choi. 1572-1575 [doi]
- A simple keeper topology to reduce delay variations in nanometer domino logicMassimo Alioto, Gaetano Palumbo, Melita Pennisi. 1576-1579 [doi]
- Design of ring oscillator structures for measuring isolated NBTI and PBTITony T. Kim, Pong-Fei Lu, Chris H. Kim. 1580-1583 [doi]
- Modeling and characterization of CNT-based TSV for high frequency applicationsSukeshwar Kannan, Bruce C. Kim, Anurag Gupta, Seok-Ho Noh, Li Li, Sang-Bock Cho. 1584-1589 [doi]
- Memristors and memristive circuits - an overviewRonald Tetzlaff, Torsten Schmidt. 1590-1595 [doi]
- Recent progress in redox-based resistive switchingRainer Waser, Stephan Menzel, Vikas Rana. 1596-1599 [doi]
- Modeling dynamics of memristive nano-structuresFernando Corinto, Alon Ascoli, Marco Gilli. 1600-1603 [doi]
- Memristor circuit for artificial synaptic weighting of pulse inputsMaheshwar Pd. Sah, Changju Yang, Hyongsuk Kim, Leon O. Chua. 1604-1607 [doi]
- Memristive computing- multiplication and correlationSangho Shin, Kyungmin Kim, Sung-Mo Kang. 1608-1611 [doi]
- A real-time motion-feature-extraction image processor employing digital-pixel-sensor-based parallel architectureHongbo Zhu, Tadashi Shibata. 1612-1615 [doi]
- A 148dB focal-plane tone-mapping QCIF imagerSonia Vargas-Sierra, Gustavo Liñan Cembrano, Ángel Rodríguez-Vázquez. 1616-1619 [doi]
- New FPN correction method for PD-storage dual-capture CMOS image sensor using a nonfully depleted pinned photodiodeJiwon Lee, Inkyu Baek, Kyounghoon Yang. 1620-1623 [doi]
- A Time-Delay-Integration CMOS image sensor with pipelined charge transfer architectureHang Yu, Xinyuan Qian, Shoushun Chen, Kay-Soon Low. 1624-1627 [doi]
- A hybrid-readout and dynamic-resolution motion detection image sensor for object trackingXiangyu Zhang, Shoushun Chen. 1628-1631 [doi]
- Complex network approach to communication network performance analysisJiajing Wu, Chi Kong Tse, Francis Chung-Ming Lau, Ivan Wang Hei Ho. 1632-1635 [doi]
- Contingency constrained optimal power flow solutions in complex network power gridsBaha Alzalg, Catalina Anghel, Wenying Gan, Qing Huang, Mustazee Rahman, Alex Shum, Chai Wah Wu. 1636-1639 [doi]
- On adaptive bounded synchronization in Power Network modelsPietro De Lellis, Mario di Bernardo. 1640-1643 [doi]
- RED-f routing protocol for complex networksWilson Wang-Kit Thong, Guanrong Chen, Ljiljana Trajkovic. 1644-1647 [doi]
- An output tracking delay-recycled clock skew-compensation and/or duty-cycle-correction circuitShih-Nung Wei, Yi-Ming Wang, Jyun-Hua Peng. 1648-1651 [doi]
- A chip-to-chip clock-deskewing circuit for 3-D ICsAi-Jia Chuang, Yu Lee, Ching-Yuan Yang. 1652-1655 [doi]
- Energy metrics for power efficient crosslink and mesh topologiesInna Vaisband, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny. 1656-1659 [doi]
- A 16Gbps low power self-timed SerDes transceiver for multi-core communicationEzz El-Din O. Hussein, Sally Safwat, Maged Ghoneima, Yehea I. Ismail. 1660-1663 [doi]
- Reliable and low-power clock distribution using pre- and post-silicon delay adaptation in high-level synthesisKeisuke Inoue, Mineo Kaneko. 1664-1667 [doi]
- A novel BMNoC configuration algorithm utilizing communication volume and locality among coresSeungju Lee, Nozomu Togawa, Takashi Aoki, Akira Onozawa. 1668-1671 [doi]
- Transient error management for partially adaptive router in network-on-chip (NoC)Qiaoyan Yu, Paul Ampadu. 1672-1675 [doi]
- A novel feature extraction algorithm for classification of bird flight callsSelin Bastas, Mohammad Wadood Majid, Golrokh Mirzaei, Jeremy Ross, Mohsin M. Jamali, Peter V. Gorsevski, Joseph P. Frizado, Verner P. Bingman. 1676-1679 [doi]
- VLSI implementation of color interpolation in color difference spacesHongming Chen, Yuhua Cheng. 1680-1683 [doi]
- Low-complexity pruning for accelerating corner detectionMeiqing Wu, Nirmala Ramakrishnan, Siew Kei Lam, Thambipillai Srikanthan. 1684-1687 [doi]
- Image super-resolution via dual-dictionary learning and sparse representationJian Zhang, Chen Zhao, Ruiqin Xiong, Siwei Ma, Debin Zhao. 1688-1691 [doi]
- A visually-lossless data hiding method based on histogram modificationMasaaki Fujiyoshi, Hitoshi Kiya. 1692-1695 [doi]
- Improving CCA via spectral components selection for facial expression recognitionXiaoyan Zhou, Wenming Zheng, Minghai Xin. 1696-1699 [doi]
- An ASIC design for 3D depth control of full HD resolution stereoscopic videoJeong-Hyu Yang, Jinseok Im, Kyoungwon Lim, Seung-Jong Choi. 1700-1703 [doi]
- A 6.24-Gb/s wide-input-range serializer ASIC using fixed-data-rate schemeKang Yeob Park, Woo-Young Choi, Seon-Young Lee, Won-Seok Oh. 1704-1707 [doi]
- Quality of experience assessment for stereoscopic imagesFeng Qi, Tingting Jiang, Siwei Ma, Debin Zhao. 1712-1715 [doi]
- Impact of encoding configurations on the perceived quality of high definition videoconference sequencesAlexandre G. Ciancio, José F. L. de Oliveira, Cassius D. Estrada, Eduardo A. B. da Silva, Amir Said. 1716-1719 [doi]
- Efficient improvement of side information in GOB-based DVC systemTsung-Che Wu, Ji-Hua Hsu, Chang-Ming Lee, Jui-Chiu Chiang. 1720-1723 [doi]
- Analysis and design for text readability increase in quad-structure RGBW color EPDKyung Joon Kwon, Sung-Kyu Lee, Sanghun Kim, Su Yeong Cho, Young-Hwan Kim. 1724-1727 [doi]
- 10Gbit/s 2mW inductorless transimpedance amplifierAtef Mohamed, Horst Zimmermann. 1728-1731 [doi]
- A 1-V CMOS receiver front-end for high-speed SI-POF linksC. Gimeno, Concepción Aldea, Santiago Celma, Francisco Aznar, Cristina Azcona. 1732-1735 [doi]
- A 40 Gbps optical receiver analog front-end in 65 nm CMOSShun-Tien Chou, Shih Hao Huang, Zheng-Hao Hong, Wei-Zen Chen. 1736-1739 [doi]
- 2.5Gbit/s transimpedance amplifier using noise cancelling for optical receiversAtef Mohamed, Horst Zimmermann. 1740-1743 [doi]
- A novel high rate transmission scheme for space time coding with low decoding complexityYier Yan, Xueqin Jiang, Li Jun, Duan Wei, TaeChol Shin, Moon Ho Lee. 1748-1751 [doi]
- Wide tuning range CMOS LC quadrature oscillators based on quadrature mode switchingMahdi Bagheri, Rahim Bagheri, Lawrence E. Larson. 1752-1755 [doi]
- Remedies for noise degradation due to active Q-Enhancement CircuitHossein Noori, Fa Foster Dai. 1756-1759 [doi]
- Design of 13.56 MHz ASK transmitter for near field communication using a DLL architectureSangyong Park, Sungmoon Park, Joonhong Park, Donghyun Baek. 1760-1762 [doi]
- An improved analysis and design methodology for RF Class-E power amplifiers with finite DC-feed inductance and switch On-resistanceAnandaroop Chakrabarti, Harish Krishnaswamy. 1763-1766 [doi]
- Modified shuffled schedule for nonbinary low-density parity-check codesJun Lin, Zhiyuan Yan. 1767-1770 [doi]
- A novel method of constructing Quasi-Cyclic RS-LDPC codes for 10GBASE-T EthernetSeong-In Hwang, Hanho Lee, Shin-Il Lim. 1771-1774 [doi]
- Extrinsic data compression method for double-binary turbo codesYi-Huan Ou-Yang, Chien-Yu Kao, Jen-Yuan Hsu, Pangan Ting, Chen-Yi Lee. 1775-1778 [doi]
- Design of TETRA 2 turbo decoder with minimum memory hardware interleaverJi-Hoon Kim. 1779-1782 [doi]
- Concatenated non-binary LDPC and HD-FEC codes for 100Gb/s optical transport systemsChang-Seok Choi, Hanho Lee, Noriaki Kaneda, Young-Kai Chen. 1783-1786 [doi]
- Design of security enhanced TPM chip against invasive physical attacksPiljoo Choi, Dong Kyue Kim. 1787-1790 [doi]
- Modified polynomial selection architecture for low-complexity chase decoding of Reed-Solomon codesHao Wang, Wei Zhang, Boyang Pan. 1791-1794 [doi]
- Stream-access-oriented baseband signal processors for SDRToshiki Takeuchi, Hiroyuki Igura, Masao Ikekawa. 1795-1798 [doi]
- Mapping channel estimation and MIMO detection in LTE-advanced on a reconfigurable cell arrayChenxin Zhang, Liang Liu, Viktor Öwall. 1799-1802 [doi]
- A fast-lock-in wide-range harmonic-free all-digital DLL with a complementary delay lineShuai Chen, Hao Li, Kai Jia, Yue Wang, Xiaobing Shi, Feng Zhang. 1803-1806 [doi]
- Ultra-low power transmitterMohsen Ghasempour, Delong Shang, Fei Xia, Alex Yakovlev. 1807-1810 [doi]
- A novel peripheral circuit for RRAM-based LUTYi-Chung Chen, Hai Li, Wei Zhang. 1811-1814 [doi]
- Generic virtual filesystems for reconfigurable devicesBenjamin Krill, Abbes Amira, Hassan Rabah. 1815-1818 [doi]
- A comparative study on asynchronous Quasi-Delay-Insensitive templatesKok-Leong Chang, Tong Lin, Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang. 1819-1822 [doi]
- State space modeling for sub-threshold SRAM stability analysisJanna Mezhibovsky, Adam Teman, Alexander Fish. 1823-1826 [doi]
- A low-cost low-power non-volatile memory for RFID applicationsHadar Dagan, Adam Teman, Alexander Fish, Evgeny Pikhay, Vladislav Dayan, Yakov Roizin. 1827-1830 [doi]
- High-performance 0.6V VMIN 55nm 1.0Mb 6T SRAM with adaptive BL bleederHao-I Yang, Yi-Wei Lin, Mao-Chih Hsia, Geng-Cing Lin, Chi-Shin Chang, Yin-Nien Chen, Ching-Te Chuang, Wei Hwang, Shyh-Jye Jou, Nan-Chun Lien, Hung-Yu Li, Kuen-Di Lee, Wei-Chiang Shih, Ya-Ping Wu, Wen-Ta Lee, Chih-Chiang Hsu. 1831-1834 [doi]
- An Ultra-Dynamic Voltage Scalable (U-DVS) 10T SRAM with bit-interleaving capabilityJunchao Chen, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang. 1835-1838 [doi]
- Analysis of propagation delay in 3 - D stacked DRAMSukeshwar Kannan, Bruce C. Kim, Sang-Bock Cho, Byoungchul Ahn. 1839-1842 [doi]
- Online surveillance video synopsisChun-Rong Huang, Hsing-Cheng Chen, Pau-Choo Chung. 1843-1846 [doi]
- Constrained multiple kernel tracking for human limbsShian-Ru Ke, Jenq-Neng Hwang, Maryam Fazel, Shen-Zheng Wang, Hung-I. Pai. 1847-1850 [doi]
- Mixed Gaussian-impulse video noise removal via temporal-spatial decompositionZhangyang Wang, Houqiang Li, Qing Ling, Weiping Li. 1851-1854 [doi]
- Gradient based interpolation for division of focal plane polarization imaging sensorsShengkui Gao, Viktor Gruev. 1855-1858 [doi]
- Vehicle color classification under different lighting conditions through color correctionJun-Wei Hsieh, Li-Chih Chen, Sin-Yu Chen, Shih-Chun Lin, Duan-Yu Chen. 1859-1862 [doi]
- 3D human pose tracking based on depth camera and dynamic programming optimizationWen-Nung Lie, Hung-Wei Shiu, Chieh Huang. 1863-1866 [doi]
- Hierarchical Bayer-pattern based background subtraction for low resource devicesMuhammad Shoaib, Tobias Elbrandt, Evgeny Zaretskiy, Jörn Ostermann. 1867-1870 [doi]
- Self-learning-based rain streak removal for image/videoLi-Wei Kang, Chia-Wen Lin, Che-Tsung Lin, Yu-Chen Lin. 1871-1874 [doi]
- A perceptual based contrast enhancement metric using AdaBoostKristofor B. Gibson, Truong Q. Nguyen. 1875-1878 [doi]
- Video organization: Near-Duplicate Video clusteringTzu-Yi Hung, Ce Zhu, Gao Yang 0001, Yap-Peng Tan. 1879-1882 [doi]
- Depth estimation and view synthesis for narrow-baseline videoQian Zhang, Chunhui Cui, King Ngi Ngan, Yu Liu. 1883-1886 [doi]
- Optimized bit extraction of SVC exploiting linear error modelWenyao Zhang, Jun Sun, Jiaying Liu, Zongming Guo. 1887-1890 [doi]
- Stereo matching with pixel classification and reliable disparity propagationWeichen Wang, Satoshi Goto. 1891-1894 [doi]
- Non-Delaunay hierarchical mesh-based motion estimation and compensation for Wavelet Video codingMiok Kim, Nam Ling, John D. Ralston, Steven Saunders. 1895-1898 [doi]
- Novel rate-distortion modeling for H.264/AVC and its application in two-pass VBR codingYizhou Duan, Jun Sun, Zongming Guo. 1899-1902 [doi]
- Analytical mode-dependent rate and distortion models for H.264/SVC coarse grain scalabilityChung-Hao Wu, Yu-Chen Tseng, Wen-Hsiao Peng. 1903-1906 [doi]
- Design and optimization of two motion detection circuits for video monitoring systemMing Zhang, Nicolas Llaser, Hervé Mathias, Antoine Dupret. 1907-1910 [doi]
- Real-time, color image barrel distortion removalHenryk Blasinski, Wei Hai, Frantz Lohier. 1911-1914 [doi]
- A hardware sharing architecture of deblocking filter for VP8 and H.264/AVC video codingYu-Lin Chou, Chung-Bin Wu. 1915-1918 [doi]
- A high throughput CAVLC design for HEVCHsuan-ku Chen, Tian-Sheuan Chang. 1919-1922 [doi]
- Universal embedded compression engine for LCD TV system-on-a-chip with Band-Expansion Progressive Wavelet CodingKeng-Hsien Huang, Shao-Yi Chien. 1923-1926 [doi]
- A 0.8V 4.3mW sub-harmonic mixer for ultra-wideband systemsMing-Jhe Zeng, Ro-Min Weng. 1927-1930 [doi]
- A 5.9mW full-band low-noise-amplifier for ultra-wideband systemsRo-Min Weng, Mei-Lian Fan, Ming-Jhe Zeng. 1931-1934 [doi]
- Design of a PSWF impulse response filter for UWB systemsLeonardo C. Neves, Genival M. de Araujo, Jose C. da Costa, Sandro A. P. Haddad. 1935-1938 [doi]
- Improving the coverage of ultra wideband impulse radio by pulse compressionGéza Kolumbán, Tamás Krébesz, Chi Kong Tse, Francis Chung-Ming Lau. 1939-1942 [doi]
- A 1.5-7.5GHz low power low noise amplifier (LNA) design using subthreshold technique for Wireless Sensor Network (WSN) applicationA. R. Aravinth Kumar, Ashudeb Dutta, Shiv Govind Singh. 1943-1946 [doi]
- A 10 Gb/s adaptive cable equalizer using phase detection technique in 0.13µm CMOS technologyKuang-Ren Chen, Chia-Ming Tsai, Sheng-Kai You, An-Siou Li, Wen Tsao Chen. 1947-1950 [doi]
- HDMI transmitter in 32nM technology using 28Å MOSNitin Gupta, Tapas Nandy, Somnath Kundu. 1951-1954 [doi]
- 0.37mW/Gb/s low power SLVS transmitter for battery powered applicationsYoungkyun Jeong, Yoon-Chul Choi, Eun-Ji Choi, Seogheon Ham, Kee-Won Kwon, Young-Hyun Jun, Jung-Hoon Chun. 1955-1958 [doi]
- A 5.4Gb/s adaptive equalizer with unit pulse charging technique in 0.13µm CMOSSewook Hwang, Inhwa Jung, Junyoung Song, Chulwoo Kim. 1959-1962 [doi]
- A 6Gb/s adaptive equalizer using overshoot control in 0.18µm CMOS technologyHsu-Che Nee, Chia-Ming Tsai, Sheng-Kai You, Wen Tsao Chen. 1963-1966 [doi]
- An ultra low-power low-voltage class AB CMOS fully differential OpAmpMaría R. Valero, Santiago Celma, Nicolás J. Medrano-Marqués, Belén Calvo, Cristina Azcona. 1967-1970 [doi]
- High-speed simulator including accurate MTJ models for spintronics integrated circuit designNoboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Hiroaki Honjo, Tadahiko Sugibayashi, Hiroki Koike, Takashi Ohsawa, Shunsuke Fukami, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh. 1971-1974 [doi]
- A low-quiescent current two-input/output buffer amplifier for LCDsChih-Wen Lu, Ping-Yeh Yin, Hsuan-Lun Kuo, Salvatore Pennisi. 1975-1978 [doi]
- An analytical study of a magnetically tuned matching networkJeremy Brown, Nathan M. Neihart. 1979-1982 [doi]
- Propagating analog signals through a fully digital network on an electronic system prototyping platformOmar Al-Terkawi Hasib, Walder Andre, Yves Blaquière, Yvon Savaria. 1983-1986 [doi]
- A novel rail-to-rail differential voltage-to-frequency converter for portable sensing systemsCristina Azcona, Belén Calvo, Santiago Celma, Nicolás J. Medrano-Marqués. 1987-1990 [doi]
- A digital over-temperature protector for FlexRay systemsChih-Lin Chen, Sheng-Chih Lin, Chua-Chin Wang, Chun-Ying Juan. 1991-1994 [doi]
- A low-power two-line inversion method for driving LCD panelsSung-Pil Choi, Gyoo-Cheol Hwang, Young-Hyun Jun, Kee-Won Kwon, Jung-Hoon Chun. 1995-1998 [doi]
- 2 100µW on-chip temperature sensor with ±1.95 °C (3σ) Inaccuracy in 32nm SOI CMOSGolam R. Chowdhury, Arjang Hassibi. 1999-2002 [doi]
- Low power multi-channel capacitive touch sensing unit using capacitor to time conversion methodHyung-Gu Park, Hongjin Kim, Joohyung Lee, Kang-Yoon Lee, Jin-Gyun Chung. 2003-2006 [doi]
- A 11 µW 0°C-160°C temperature sensor in 90 nm CMOS for adaptive thermal monitoring of VLSI circuitsAmir Zjajo, Nick van der Meijs, René van Leuken. 2007-2010 [doi]
- Structure generation and design of tracking ADCsMohamed O. Shaker, Magdy A. Bayoumi. 2011-2014 [doi]
- A 2 - 8 GHz multi-phase distributed DLL using phase insertion in 90 nmMin-Han Hsieh, Bing-Feng Lin, Yu-Shun Wang, Hao-Huei Chang, Charlie Chung-Ping Chen. 2015-2018 [doi]
- An audio clock regenerator with a wide dividing ratio for HDMISeung-Wuk Oh, Sang-Ho Kim, Jin-Ku Kang. 2019-2022 [doi]
- A low cost method for testing offset and gain error for ADC BISTJingbo Duan, Degang Chen, Randall L. Geiger. 2023-2026 [doi]
- A DLL-based injection-locked frequency synthesizer for WiMedia UWBAmin Ojani, Behzad Mesgarzadeh, Atila Alvandpour. 2027-2030 [doi]
- Analysis of steady-state common-mode response in differential LC-VCOsRicardo Doldán, Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda. 2031-2034 [doi]
- A 20 mV Colpitts Oscillator powered by a thermoelectric generatorFernando Rangel de Sousa, Marcio Bender Machado, Carlos Galup-Montoro. 2035-2038 [doi]
- A 1.2V 2-bit phase interpolator for 65nm CMOSAndrew Nicholson, Julian Jenkins, André van Schaik, Tara Julia Hamilton, Torsten Lehmann. 2039-2042 [doi]
- + sensing devices for water quality monitoring systemJung-Chuan Chou, Meng Wei Su, Chien-Cheng Chen, Shu-Ying Yang. 2043-2046 [doi]
- FPGA-based machine vision implementation for Lab-on-Chip flow detectionCalliope-Louisa Sotiropoulou, Liberis Voudouris, Christos Gentsos, Spiridon Nikolaidis, Nikolaos Vassiliadis, Athanasios Demiris, Spyros Blionas. 2047-2050 [doi]
- A conductance-based neuronal network in VLSI for studying the CPR circuit of the crayfishChien-Hsuan Chen, Hsiang-Chiu Wu, Hsin Chen. 2051-2054 [doi]
- Transcutaneous electrical nerve stimulation system for improvement of flight orientation in a VR-based motion environmentShiau-Ru Yang, Shi-An Chen, Shu-Fang Tsai, Chin-Teng Lin. 2055-2058 [doi]
- Measurement of cell and bacterial activity using array-based ISFET Chemical Current-Conveyor in weak-inversionPanavy Pookaiyaudom, Apisak Worapishet, F. J. Lidgey, Khaled Hayatleh, Christofer Toumazou. 2059-2062 [doi]
- Quantitative comparison of commercial CCD and custom-designed CMOS camera for biological applicationsGozen Koklu, Julien Ghaye, Rene Beuchat, Giovanni De Micheli, Yusuf Leblebici, Sandro Carrara. 2063-2066 [doi]
- Exploration of reusing the pre-recorded training data set to improve the supervised classifier for EEG-based motor-imagery brain computer interfacesYun-Yu Chen, Tung-Chien Chen, Chien-Chung Chen, Hsin-I. Liao, Luk-Ting Sio, Liang-Gee Chen. 2067-2070 [doi]
- Development of adaptive QRS detection rules based on center differentiation method for clinical applicationShiau-Ru Yang, Sheng-Chih Hsu, Shao-Wei Lu, Li-Wei Ko, Chin-Teng Lin. 2071-2074 [doi]
- Medical image classification using birth-and-death MCMCTarek Elguebaly, Nizar Bouguila. 2075-2078 [doi]
- Microscopic image classification via ℂWT-based covariance descriptors using Kullback-Leibler distanceFurkan Keskin, A. Enis Çetin, Tulin Ersahin, Rengül Çetin-Atalay. 2079-2082 [doi]
- A study of exponential stability of multiple equilibria in delayed recurrent neural networksZhigang Zeng, Wei Xing Zheng. 2083-2086 [doi]
- An enhanced Neuro-Space mapping method for nonlinear microwave device modelingLin Zhu, Yongtao Ma, Qijun Zhang, Kaihua Liu. 2087-2090 [doi]
- Configurable conduction delay circuits for high spiking ratesBilel Belhadj, Antoine Joubert, Olivier Temam, Rodolphe Héliot. 2091-2094 [doi]
- Varactor-driven temperature compensation of CMOS floating-gate current memoryMing Gu, Shantanu Chakrabartty. 2095-2098 [doi]
- Investigation of Multi-Layer Perceptron with propagation of glial pulse to two directionsChihiro Ikuta, Yoko Uwate, Yoshifumi Nishio. 2099-2102 [doi]
- Analysis of a MEMS-based ring oscillatorJ. L. Munoz-Gamarra, Nuria Barniol, Jérôme Juillard. 2103-2106 [doi]
- State estimation of complex dynamical network under noisy transmission channelChun-Xia Fan, Guo-Ping Jiang. 2107-2110 [doi]
- A numerical approach to calculate grazing bifurcation points in an impact oscillator with periodic boundariesAkiko Takahashi, Hiroo Sekiya, Kazuyuki Aihara, Takuji Kousaka. 2111-2114 [doi]
- Synchronization analysis of networks of identical and nearly identical Chua's oscillatorsIgor Mishkovski, Miroslav Mirchev, Fernando Corinto, Mario Biey. 2115-2118 [doi]
- Towards a nearly optimal synthesis of power bridge commands in the driving of AC motorsFederico Bizzarri, Sergio Callegari, Giambattista Gruosso. 2119-2122 [doi]
- Compact and low-loss ESD protection design for V-band RF applications in a 65-nm CMOS technologyLi-Wei Chu, Chun-Yu Lin, Shiang-Yu Tsai, Ming-Dou Ker, Ming-Hsiang Song, Chewnpu Jou, Tse-Hua Lu, Jeng-Chou Tseng, Ming-Hsien Tsai, Tsun-Lai Hsu, Ping-Fang Hung, Tzu-Heng Chang. 2127-2130 [doi]
- A 127mW SAW-less LTE transmitter with LC-load bootstrapped quadrature voltage modulator in 130nm RFCMOSWeifeng Zhang, Jiwei Huang, Riyan Wang, Fang Min, Zhengping Li. 2135-2138 [doi]
- Cost-efficient CMOS RF tunable bandpass filter with active inductor-less biquadsYixiao Wang, Le Ye, Huailin Liao, Ru Huang. 2139-2142 [doi]
- Balun LNA with continuously controllable gain and with noise and distortion cancellationIvan Bastos, Luís B. Oliveira, J. P. Oliveira, João Goes, Manuel Medeiros Silva. 2143-2146 [doi]
- 2.4GHz super-regeneration amplifier with degenerative quenching technique for RF-pulse width transceiverAli Zahabi, Muhammad Anis, Maurits Ortmanns. 2147-2150 [doi]
- Anti-interference pseudo-differential wideband LNA for DVB-S.2 RF tunersHui Wang, Wufeng Wang, Jing Jin, Dongpo Chen, Jianjun Zhou. 2151-2154 [doi]
- Fast parasitic-aware synthesis methodology for high-performance analog circuitsAbdullah Al Iftekhar Ahmed, Lihong Zhang. 2155-2158 [doi]
- A low-power dual-PFD phase-rotating PLL with a PFD controller for 5Gb/s serial linksJun-Han Bae, Kyoung-Ho Kim, Seok Kim, Kee-Won Kwon, Jung-Hoon Chun. 2159-2162 [doi]
- Autotuning technique for CMOS current mode capacitive sensor interfacesSalvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. 2163-2166 [doi]
- A current-to-voltage integrator using area-efficient correlated double sampling techniqueXuqiang Zheng, Fule Li, Xuan Wang, Chun Zhang. 2167-2170 [doi]
- High speed stress tolerant 1.6 V - 3.6 V low to high voltage CMOS level shift architecture in 40 nmSushrant Monga. 2171-2174 [doi]
- A low-voltage and stable phase compensation technique to realize an 99 dB, 650 MHz and 1.8 V three-stage AmplifierNaoto Ogawa, Kohei Ohtani, Yasuhiro Sugimoto. 2175-2178 [doi]
- Performances of RF PA classes in LINC systemsRonald Montesinos, Corinne Berland, Mazen Abi-Hussein, Olivier Venard, Philippe Descamps. 2179-2182 [doi]
- Passive complex bandpass filter using lossy and loose coupling transformersKazuhiro Shouno, You Amano. 2183-2186 [doi]
- Highly efficient compact size 0.7W broad bandwidth power amplifierT. T. Thein, C. L. Law, K. Fu, Aye Aung. 2187-2190 [doi]
- A novel overlapping coil structure for dual band telemetry systemPeijun Wang, Yina Tang, Hui Wang, Guoxing Wang. 2191-2194 [doi]
- Signal processing for velocity selective recording systems using analogue delay linesRobert Rieger, John Taylor, Chris Clarke. 2195-2198 [doi]
- An ultra-low-power 902-928MHz RF receiver front-end in CMOS 90nm processXiaojun Tu, Jeremy H. Holleman. 2199-2202 [doi]
- Implantable narrow band image compressor for capsule endoscopyTareq Hasan Khan, Khan A. Wahid. 2203-2206 [doi]
- Intelligent cage for remotely powered freely moving animal telemetry systemsEnver G. Kilinc, Bastien Canovas, Franco Maloberti, Catherine Dehollain. 2207-2210 [doi]
- An ultralow-power CMOS transconductor design with wide input linear range for biomedical applicationsYen-Ting Liu, Donald Y. C. Lie, Weibo Hu, Tam Nguyen. 2211-2214 [doi]
- Noise canceling chopper stabilized front-end for electrochemical biosensors with improved dynamic rangeViswanathan Balasubramanian, Pierre-François Ruedi, Christian C. Enz. 2215-2218 [doi]
- A low power neural recording amplifier with programmable gain and bandwidthBalavelan Thanigaivelan, Janet Wiles, Tara Julia Hamilton. 2219-2222 [doi]
- A 0.8V 6.4µW compact mixed-signal front-end for neural implantsAhmed El Kholy, Maged Ghoneima, Khaled Sharaf. 2223-2226 [doi]
- A comparative overview of two transimpedance amplifiers for biosensing applicationsAbdelaziz Trabelsi, Mounir Boukadoum. 2227-2230 [doi]
- A front-end circuit with active spike and LFP separation via a switched capacitor filter structure for neural recording applicationsUlrich Bihr, Maurits Ortmanns. 2231-2234 [doi]
- A fully-programmable neural interface for multi-polar, multi-channel stimulation strategiesAnthony Guilvard, Amir Eftekhar, Song Luan, Christofer Toumazou, Timothy G. Constandinou. 2235-2238 [doi]
- A novel charge-metering method for voltage mode neural stimulationSong Luan, Timothy G. Constandinou. 2239-2242 [doi]
- Towards a fully-integrated solution for capacitor-based neural stimulationKhalid Mirza, Song Luan, Amir Eftekhar, Timothy G. Constandinou. 2243-2246 [doi]
- Low-power high-voltage charge pumps for implantable microstimulatorsGoutam Chandra Kar, Mohamad Sawan. 2247-2250 [doi]
- A low-voltage low-noise DC-DC flyback converter with delta-sigma modulationBo-Han Hwang, Jay-Ann Yo, Jiann-Jong Chen, Yuh-Shyan Hwang, Cheng-Chieh Yu. 2251-2254 [doi]
- A low-voltage positive buck-boost converter using average-current-controlled techniquesBo-Han Hwang, Bin-Nan Sheen, Jiann-Jong Chen, Yuh-Shyan Hwang, Cheng-Chieh Yu. 2255-2258 [doi]
- A new class of integrated CMOS rectifiers with improved PVT-compensated efficiencyHongcheng Xu, Maurits Ortmanns. 2259-2262 [doi]
- A fully integrated DC-DC converter for dynamic voltage scaling applicationsChu-Hsiang Chia, Pui-Sun Lei, Robert Chen-Hao Chang, Yu-Bin Hong. 2263-2266 [doi]
- Output spectrum analysis of buck converters in DCM with PFM controlChengwu Tao, Ayman A. Fayed. 2267-2270 [doi]
- ADDA: Almost direct drive architecture for solar high power electrical propulsion in new generation spacecraftsFederico Bizzarri, Angelo Brambilla, Giambattista Gruosso, Giancarlo Storti Gajani, E. Ferrando. 2271-2274 [doi]
- Maximizing power harvest in a distributed photovoltaic systemYong Sin Kim, Sung-Mo Kang, Roland Winston. 2275-2278 [doi]
- A new type of microinverter for Photovoltaic power generation based on heterodyne power processingShaul Ozeri. 2279-2282 [doi]
- Low voltage-drop bypass switch for photovoltaic applicationsFrancesco Pulvirenti, Amedeo La Scala, Salvatore Pennisi. 2283-2286 [doi]
- BioCMOS LSIs for portable gene-based diagnostic inspection systemKazuo Nakazato. 2287-2290 [doi]
- High-throughput biology in the time domain: Improving temporal resolution of single-molecule sensorsJacob Rosenstein, Kenneth L. Shepard. 2291-2294 [doi]
- DNA sequencing via electron tunnelingMichael Zwolak, Massimiliano Di Ventra. 2295-2298 [doi]
- Fluctuation tolerant read scheme for ultrafast DNA sequencing with nanopore deviceYoshimitsu Yanagawa, Kazuo Ono, Akira Kotabe, Riichiro Takemura, Tatsuo Nakagawa, Tomio Iwasaki, Takayuki Kawahara. 2299-2302 [doi]
- Low-cost and ultra-sensitive poly-Si nanowire biosensor for Hepatitis B Virus (HBV) DNA detectionChe-Wei Huang, Hsiao-Ting Hsueh, Yu-Jie Huang, Jen-Kuang Lee, Min-Cheng Chen, Shey-Shi Lu, Chih-Ting Lin. 2303-2307 [doi]
- A SAT-based diagnosis pattern generation method for timing faults in scan chainsDa Wang, Lunkai Zhang, Weizhi Xu, Dongrui Fan, Fei Wang. 2308-2312 [doi]
- Accumulator-based output selection for test response compactionWei-Cheng Lien, Kuen-Jong Lee, Tong-Yu Hsieh, Shih-Shiun Chien, Krishnendu Chakrabarty. 2313-2316 [doi]
- Automatic generation of hardware design properties from simulation tracesEman El Mandouh, Amr G. Wassal. 2317-2320 [doi]
- Low-power delay test architecture for pre-bond testSying-Jyan Wang, Han-Hsuan Hsu, Katherine Shu-Min Li. 2321-2324 [doi]
- A closed form expression for TSV-based on-chip spiral inductorKhaled Salah, Alaa El Rouby, Hani Ragai, Yehea I. Ismail. 2325-2328 [doi]
- Tree-structured linear-phase Nyquist FIR filter interpolators and decimatorsHåkan Johansson, Amir Eghbali, Jimmie Lahti. 2329-2332 [doi]
- On shift variance bounds in multi-channel filter banksLi Chai, Qing-Long Han, Jingxin Zhang. 2333-2336 [doi]
- Design of Q-shift filters with flat group delayXi Zhang, Hiroaki Morihara. 2337-2340 [doi]
- Fast Finite Field Orthogonal Transform without length constraintSoo-Chang Pei, Chia-Chang Wen. 2341-2344 [doi]
- On the aliasing effect of the finer directional wavelet transformSelvaraaju Murugesan, David B. H. Tay. 2345-2348 [doi]
- An 8-bit single-ended ultra-low-power SAR ADC with a novel DAC switching methodWeibo Hu, Donald Y. C. Lie, Yen-Ting Liu. 2349-2352 [doi]
- A 9.2b 47fJ/conversion-step asynchronous SAR ADC with input range prediction DAC switchingHsin-Yuan Huang, Jin-Yi Lin, Chih-Cheng Hsieh, Wen-Hsu Chang, Hann-Huei Tsai, Chin-Fong Chiu. 2353-2356 [doi]
- A 120dB SNDR audio sigma-delta modulator with an asynchronous SAR quantizerYafei Ye, Liyuan Liu, Jiangyuan Li, Dongmei Li, Zhihua Wang. 2357-2360 [doi]
- Enhanced SAR ADC energy efficiency from the early reset merged capacitor switching algorithmJon Guerber, Hariprasath Venkatram, Taehwan Oh, Un-Ku Moon. 2361-2364 [doi]
- SAR ADC using single-capacitor pulse width to analog converter based DACGuanglei Zhang, Kye-Shin Lee. 2365-2368 [doi]
- A multiple access for unlicensed spectrumRuey-Wen Liu, Rendong Ying, Xu Wang, Fan He, Bo Hu. 2369-2372 [doi]
- A mm-wave analog adaptive array with genetic algorithm for interference mitigationLu Chuang, Yan Wu 0001, Reza Mahmoudi, Marion Matters-Kammerer, Peter G. M. Baltus. 2373-2376 [doi]
- Efficient channel shortening for higher order modulation: Algorithm and architectureChristian Benkeser, Stefan Zwicky, Harald Kroll, Johannes Widmer, Qiuting Huang. 2377-2380 [doi]
- A PVT-robust current-mode passive mixer with source-degenerated transconductance amplifierShaorui Li, Deping Huang, Jinghong Chen. 2381-2384 [doi]
- A fully digital polar modulator for switch mode RF power amplifierPhilip Ostrovskyy, Christoph Scheytt, SungJun Lee, Bonghyuk Park, Jaeho Jung. 2385-2388 [doi]
- A fast FPW-based protein concentration measurement systemChua-Chin Wang, Chia-Hao Hsu, Yue-Da Tsai, Yun-Chi Chen, Ming-Chih Lee, I-Yu Huang. 2389-2392 [doi]
- Single-filter multi-color CMOS fluorescent contact sensing microsystemDerek Ho, M. Omair Noor, Ulrich Krüll, P. Glenn Gulak, Roman Genov. 2393-2396 [doi]
- Improvised NanoSPR biosensor system utilizing gold nanorods and nanohole array filmSantosh Koppa, Youngjoong Joo. 2397-2400 [doi]
- Die-level photolithography and etchless parylene packaging processes for on-CMOS electrochemical biosensorsLin Li, Xiaowen Liu, Andrew J. Mason. 2401-2404 [doi]
- A dual-mode, low-power and low-noise 0.18µm CMOS front-end for optical biosensorsAbdelaziz Trabelsi, Mounir Boukadoum, Mohamed Siaj. 2405-2408 [doi]
- An asynchronous parallel neuromorphic ADC architectureJonathan Tapson, André van Schaik. 2409-2412 [doi]
- An aVLSI programmable axonal delay circuit with spike timing dependent delay adaptationRunchun Wang, Jonathan Tapson, Tara Julia Hamilton, André van Schaik. 2413-2416 [doi]
- Heterogeneous neurons and plastic synapses in a reconfigurable cortical neural network ICJayawan H. B. Wijekoon, Piotr Dudek. 2417-2420 [doi]
- A neuromorphic VLSI grid cell systemTarek M. Massoud, Timothy K. Horiuchi. 2421-2424 [doi]
- Real-time inference in a VLSI spiking neural networkDane Corneil, Daniel Sonnleithner, Emre Neftci, Elisabetta Chicca, Matthew Cook, Giacomo Indiveri, Rodney J. Douglas. 2425-2428 [doi]
- BER-based power budget evaluation for optical interconnect topologies in NoCsIpshita Datta, Debasish Datta. 2429-2432 [doi]
- Exploiting path diversity for low-latency and high-bandwidth with the dual-path NoC routerYoon Seok Yang, Hrishikesh Deshpande, Gwan S. Choi, Paul Gratz. 2433-2436 [doi]
- Decentralized agent based re-clustering for task mapping of tera-scale network-on-chip systemYingnan Cui, Wei Zhang, Hao Yu. 2437-2440 [doi]
- Implication logic synthesis methods for memristorsEero Lehtonen, Jussi H. Poikonen, Mika Laiho. 2441-2444 [doi]
- A memristor-based random modulator for compressive sensing systemsYehia Massoud, <