Journal: IEEE Trans. on CAD of Integrated Circuits and Systems

Volume 36, Issue 9

1421 -- 1434Sanjit A. Seshia, Shiyan Hu, Wenchao Li, Qi Zhu. Design Automation of Cyber-Physical Systems: Challenges, Advances, and Opportunities
1435 -- 1444Nicole Fern, Ismail San, Çetin Kaya Koç, Kwang-Ting (Tim) Cheng. Hiding Hardware Trojan Communication Channels in Partially Specified SoC Bus Functionality
1445 -- 1457Wei Yan, Fatemeh Tehranipoor, John A. Chandy. PUF-Based Fuzzy Authentication Without Error Correcting Codes
1458 -- 1470Hoda Aghaei Khouzani, Fateme S. Hosseini, Chengmo Yang. Segment and Conflict Aware Page Allocation and Migration in DRAM-PCM Hybrid Main Memory
1471 -- 1482Bajaj Ronak, Suhaib A. Fahmy. Multipumping Flexible DSP Blocks for Resource Reduction on Xilinx FPGAs
1483 -- 1496Vinicius Neves Possani, André Inácio Reis, Renato P. Ribas, Felipe S. Marques, Leomar S. da Rosa Jr.. Transistor Count Optimization in IG FinFET Network Design
1497 -- 1510Hyungmin Cho, Eric Cheng, Thomas Shepherd, Chen-Yong Cher, Subhasish Mitra. System-Level Effects of Soft Errors in Uncore Components
1511 -- 1521Woong Choi, Jongsun Park. Improved Perturbation Vector Generation Method for Accurate SRAM Yield Estimation
1522 -- 1531Seongbo Shim, Youngsoo Shin. Fast Verification of Guide-Patterns for Directed Self-Assembly Lithography
1532 -- 1544Yibo Lin, Bei Yu, David Z. Pan. High Performance Dummy Fill Insertion With Coupling and Uniformity Constraints
1545 -- 1556Fan Yang, Subarna Sinha, Charles C. Chiang, Xuan Zeng 0001, Dian Zhou. Improved Tangent Space-Based Distance Metric for Lithographic Hotspot Classification
1557 -- 1570Pietro Mercati, Francesco Paterna, Andrea Bartolini, Luca Benini, Tajana Simunic Rosing. WARM: Workload-Aware Reliability Management in Linux/Android
1571 -- 1579Taehee Lee, Nur A. Touba, Joon-Sung Yang. Enhancing Test Compression With Dependency Analysis for Multiple Expansion Ratios
1580 -- 1591Hyunseung Han, Nur A. Touba, Joon-Sung Yang. Exploiting Unused Spare Columns and Replaced Columns to Enhance Memory ECC

Volume 36, Issue 8

1237 -- 1250Wen-Hsiang Chang, Chien-Hsueh Lin, Szu-Pang Mu, Li-De Chen, Cheng-Hong Tsai, Yen-Chih Chiu, Mango C.-T. Chao. Generating Routing-Driven Power Distribution Networks With Machine-Learning Technique
1251 -- 1264Hsiao-Lei Chien, Mei-Yen Chiu, Jie-Hong R. Jiang. A Gridless Approach to the Satisfiability of Self-Aligned Triple Patterning
1265 -- 1273Shreepad Panth, Sandeep Kumar Samal, Kambiz Samadi, Yang Du, Sung Kyu Lim. Tier Degradation of Monolithic 3-D ICs: A Power Performance Study at Different Technology Nodes
1274 -- 1286Mark Po-Hung Lin, Vincent Wei-Hao Hsiao, Chun-Yu Lin, Nai-Chen Chen. Parasitic-Aware Common-Centroid Binary-Weighted Capacitor Layout Generation Integrating Placement, Routing, and Unit Capacitor Sizing
1287 -- 1300Qi Xu, Song Chen, Xiaodong Xu, Bei Yu. Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits
1301 -- 1312Daohang Shi, Edward Tashjian, Azadeh Davoodi. Dynamic Planning of Local Congestion From Varying-Size Vias for Global Routing Layer Assignment
1313 -- 1326Tsun-Ming Tseng, Bing Li, Ching-feng Yeh, Hsiang-Chieh Jhan, Zuo-Min Tsai, Mark Po-Hung Lin, Ulf Schlichtmann. An Efficient Two-Phase ILP-Based Algorithm for Precise CMOS RFIC Layout Generation
1327 -- 1339Ming Tang, Zhipeng Guo, Annelie Heuser, Yanzhen Ren, Jie Li, Jean-Luc Danger. PFD - A Flexible Higher-Order Masking Scheme
1340 -- 1352Edwin Hsing-Mean Sha, Congming Gao, Liang Shi, Kaijie Wu 0001, Mengying Zhao, Chun Jason Xue. Asymmetric Error Rates of Cell States Exploration for Performance Improvement on Flash Memory Based Storage Systems
1353 -- 1366Miao Hu, Yiran Chen, J. Joshua Yang, Yu Wang, Hai Helen Li. A Compact Memristor-Based Dynamic Synapse for Spiking Neural Networks
1367 -- 1380Vicky S. Kalogeiton, Dim P. Papadopoulos, Orestis Liolis, Vasilios A. Mardiris, Georgios Ch. Sirakoulis, Ioannis G. Karafyllidis. Programmable Crossbar Quantum-Dot Cellular Automata Circuits
1381 -- 1394Yixiao Ding, Chris Chu, Wai-Kei Mak. Self-Aligned Double Patterning Lithography Aware Detailed Routing With Color Preassignment
1395 -- 1405Xuan Dong, Lihong Zhang. Process-Variation-Aware Rule-Based Optical Proximity Correction for Analog Layout Migration
1406 -- 1419Ran Wang, Zipeng Li, Sukeshwar Kannan, Krishnendu Chakrabarty. Prebond Testing and Test-Path Design for the Silicon Interposer in 2.5-D ICs

Volume 36, Issue 7

1061 -- 1074Fabrizio Riente, Giovanna Turvani, Marco Vacca, Massimo Ruo Roch, Maurizio Zamboni, Mariagrazia Graziano. ToPoliNano: A CAD Tool for Nano Magnetic Logic
1075 -- 1088Peter Debacker, Kwangsoo Han, Andrew B. Kahng, Hyein Lee, Praveen Raghavan, Lutong Wang. MILP-Based Optimization of 2-D Block Masks for Timing-Aware Dummy Segment Removal in Self-Aligned Multiple Patterning Layouts
1089 -- 1102Kan Wang, Sheqin Dong, Fengxian Jiao. TSF3D: MSV-Driven Power Optimization for Application-Specific 3D Network-on-Chip
1103 -- 1112Wai-Kei Mak, Wan-Sin Kuo, Shi-Han Zhang, Seong-I Lei, Chris Chu. Minimum Implant Area-Aware Placement and Threshold Voltage Refinement
1113 -- 1125Xiaoqing Xu, Yibo Lin, Meng Li, Jiaojiao Ou, Brian Cline, David Z. Pan. Redundant Local-Loop Insertion for Unidirectional Routing
1126 -- 1139Vinicius S. Livramento, Derong Liu, Salim Chowdhury, Bei Yu, Xiaoqing Xu, David Z. Pan, José Luís Almada Güntzel, Luiz C. V. dos Santos. Incremental Layer Assignment Driven by an External Signoff Timing Engine
1140 -- 1152Yibo Lin, Bei Yu, Biying Xu, David Z. Pan. Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line Conflict
1153 -- 1166Mohamad Najem, Pascal Benoit, Mohamad El Ahmad, Gilles Sassatelli, Lionel Torres. A Design-Time Method for Building Cost-Effective Run-Time Power Monitoring
1167 -- 1180Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Helen Li, Yiran Chen. FlexLevel NAND Flash Storage System Design to Reduce LDPC Latency
1181 -- 1192Yaojun Zhang, Bonan Yan, XiaoBin Wang, Yiran Chen. Persistent and Nonpersistent Error Optimization for STT-RAM Cell Design
1193 -- 1202Eddie Hung, Tim Todman, Wayne Luk. Transparent In-Circuit Assertions for FPGAs
1203 -- 1214Renhai Chen, Yi Wang 0003, Jingtong Hu, Duo Liu, Zili Shao, Yong Guan. vFlash: Virtualized Flash for Optimizing the I/O Performance in Mobile Devices
1215 -- 1225Irith Pomeranz. Identifying Biases of a Defect Diagnosis Procedure
1226 -- 1230Xiaoping Wang, Bowen Xu, Lin Chen. Efficient Memristor Model Implementation for Simulation and Application
1231 -- 1235Irith Pomeranz. Clock Sequences for Increasing the Fault Coverage of Functional Test Sequences

Volume 36, Issue 6

885 -- 898Li-C. Wang. Experience of Data Analytics in EDA and Test - Principles, Promises, and Challenges
899 -- 912Wei Zeng, Hengliang Zhu, Xuan Zeng, Dian Zhou, Rueywen Liu, Xin Li. C-YES: An Efficient Parametric Yield Estimation Approach for Analog and Mixed-Signal Circuits Based on Multicorner-Multiperformance Correlations
913 -- 926Yasmine Badr, Andres Torres, Puneet Gupta. Mask Assignment and DSA Grouping for DSA-MP Hybrid Lithography for Sub-7 nm Contact/Via Holes
927 -- 937Konrad Möller, Martin Kumm, Marco Kleinlein, Peter Zipf. Reconfigurable Constant Multiplication for FPGAs
938 -- 951Frank P. Burns, Danil Sokolov, Alex Yakovlev. A Structured Visual Approach to GALS Modeling and Verification of Communication Circuits
952 -- 963Jin-Tai Yan. One-Sided Net Untangling With Internal Detours for Bus Routing
964 -- 977Yu-Hsuan Su, Yao-Wen Chang. Nanowire-Aware Routing Considering High Cut Mask Complexity
978 -- 991Elena Kakoulli, Vassos Soteriou, Charalambos Koutsides, Kyriacos Kalli. Silica-Embedded Silicon Nanophotonic On-Chip Networks
992 -- 1003Sandeep Kumar Samal, Kambiz Samadi, Pratyush Kamal, Yang Du, Sung Kyu Lim. Full Chip Impact Study of Power Delivery Network Designs in Gate-Level Monolithic 3-D ICs
1004 -- 1017Wen-Hsuan Hsu, Michael Andreas Kochte, Kuen-Jong Lee. Built-In Test and Diagnosis for TSVs With Different Placement Topologies and Crosstalk Impact Ranges
1018 -- 1029Seyed Nima Mozaffari, Spyros Tragoudas, Themistoklis Haniotakis. More Efficient Testing of Metal-Oxide Memristor-Based Memory
1030 -- 1042Ran Wang, Guoliang Li, Rui Li, Jun Qian, Krishnendu Chakrabarty. ExTest Scheduling and Optimization for 2.5-D SoCs With Wrapped Tiles
1043 -- 1053Chandra K. H. Suresh, Sule Ozev, Ozgur Sinanoglu. dd Digital Circuits Using Variation Sensitive Ring Oscillators
1054 -- 1058Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel. Optimal Greedy Algorithm for Many-Core Scheduling

Volume 36, Issue 5

705 -- 718Ying Wang, Yinhe Han, Cheng Wang, Huawei Li, Xiaowei Li 0001. Retention-Aware DRAM Assembly and Repair for Future FGR Memories
719 -- 732Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty. Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip
733 -- 746Mohamed Ibrahim, Krishnendu Chakrabarty, Kristin Scott. Synthesis of Cyberphysical Digital-Microfluidic Biochips for Real-Time Quantitative Analysis
747 -- 760Onur Tunali, Mustafa Altun. Permanent and Transient Fault Tolerance for Reconfigurable Nano-Crossbar Arrays
761 -- 774Felix Winterstein, Kermin Elliott Fleming, Hsin-Jung Yang, George A. Constantinides. Custom Multicache Architectures for Heap Manipulating Programs
775 -- 788Khalid Al-Jabery, Zhezhao Xu, Wenjian Yu, Donald C. Wunsch, Jinjun Xiong, Yiyu Shi. Demand-Side Management of Domestic Electric Water Heaters Using Approximate Dynamic Programming
789 -- 800Luc Michel, Frédéric Pétrot. Dynamic Binary Translation of VLIW Codes on Scalar Architectures
801 -- 814José L. Abellán, Ayse Kivilcim Coskun, Anjun Gu, Warren Jin, Ajay Joshi, Andrew B. Kahng, Jonathan Klamkin, Cristian Morales, John Recchio, Vaishnav Srinivas, Tiansheng Zhang. Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation
815 -- 828Yongkun Li, Biaobiao Shen, Yubiao Pan, Yinlong Xu, Zhipeng Li, John C. S. Lui. Workload-Aware Elastic Striping With Hot Data Identification for SSD RAID Arrays
829 -- 841Eric Schneider, Michael A. Kochte, Stefan Holst, Xiaoqing Wen, Hans-Joachim Wunderlich. GPU-Accelerated Simulation of Small Delay Faults
842 -- 854Mehdi Sadi, Sukeshwar Kannan, LeRoy Winemberg, Mark Tehranipoor. SoC Speed Binning Using Machine Learning and On-Chip Slack Sensors
855 -- 868Xiaoxiao Wang, Pengyuan Jiao, Mehdi Sadi, Donglin Su, LeRoy Winemberg, Mark Tehranipoor. TRO: An On-Chip Ring Oscillator-Based GHz Transient IR-Drop Monitor
869 -- 882Fatemeh Negin Javaheri, Katell Morin-Allory, Dominique Borrione. Synthesis of Regular Expressions Revisited: From PSL SEREs to Hardware

Volume 36, Issue 4

521 -- 536Zheng Zhang, Kim Batselier, Haotian Liu, Luca Daniel, Ngai Wong. Tensor Computation: A New Framework for High-Dimensional Problems in EDA
537 -- 550Ranjan Pal, Viktor K. Prasanna. The STREAM Mechanism for CPS Security The Case of the Smart Grid
551 -- 561Ta-Yang Huang, Chia-Jui Chang, Chung-Wei Lin, Sudip Roy 0001, Tsung-Yi Ho. Delay-Bounded Intravehicle Network Routing Algorithm for Minimization of Wiring Weight and Wireless Transmit Power
562 -- 572Jin Huang, Qingmin Huang, Yangdong Deng, Ye Hwa Chen. Toward Robust Vehicle Platooning With Bounded Spacing Error
573 -- 585Hantao Huang, Yuehua Cai, Hang Xu, Hao Yu. A Multiagent Minority-Game-Based Demand-Response Management of Smart Buildings Toward Peak Load Reduction
586 -- 599Wanli Chang, Dip Goswami, Samarjit Chakraborty, Lei Ju, Chun Jason Xue, Sidharta Andalam. Memory-Aware Embedded Control Systems Design
600 -- 613Joon-Young Paik, Tae-Sun Chung, Eun-Sun Cho. Dynamic Allocation Mechanism to Reduce Read Latency in Collaboration With a Device Queue in Multichannel Solid-State Devices
614 -- 627Sukanta Bhattacharjee, Sudip Poddar, Sudip Roy 0001, Juinn-Dar Huang, Bhargab B. Bhattacharya. Dilution and Mixing Algorithms for Flow-Based Microfluidic Biochips
628 -- 640Vivek Mishra, Sachin S. Sapatnekar. Probabilistic Wire Resistance Degradation Due to Electromigration in Power Grids
641 -- 654Juyeon Kim, Taewhan Kim. Adjustable Delay Buffer Allocation under Useful Clock Skew Scheduling
655 -- 668Anirban Sengupta, Saumya Bhadauria, Saraju P. Mohanty. TL-HLS: Methodology for Low Cost Hardware Trojan Security Aware Scheduling With Optimal Loop Unrolling Factor During High Level Synthesis
669 -- 682Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth. Parameterized Dataflow Scenarios
683 -- 693Grzegorz Mrugalski, Janusz Rajski, Lukasz Rybak, Jedrzej Solecki, Jerzy Tyszer. Star-EDT: Deterministic On-Chip Scheme Using Compressed Test Patterns
694 -- 698Matthias Kauer, Swaminathan Narayanaswamy, Sebastian Steinhorst, Samarjit Chakraborty. Rapid Analysis of Active Cell Balancing Circuits
699 -- 703Endri Bezati, Simone Casale Brunet, Marco Mattavelli, Jörn W. Janneck. Clock-Gating of Streaming Applications for Energy Efficient Implementations on FPGAs

Volume 36, Issue 3

357 -- 369Da-Wei Chang, Ing-Chao Lin, Lin-Chun Yong. ROHOM: Requirement-Aware Online Hybrid On-Chip Memory Management for Multicore Systems
370 -- 383Sukanta Bhattacharjee, Sharbatanu Chatterjee, Ansuman Banerjee, Tsung-Yi Ho, Krishnendu Chakrabarty, Bhargab B. Bhattacharya. Adaptation of Biochemical Protocols to Handle Technology-Change for Digital Microfluidics
384 -- 397Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec. A Unified Design Flow to Automatically Generate On-Chip Monitors During High-Level Synthesis of Hardware Accelerators
398 -- 411Miroslav Kvassay, Elena Zaitseva, Vitaly G. Levashenko, Jozef Kostolny. Reliability Analysis of Multiple-Outputs Logic Circuits Based on Structure Function Approach
412 -- 420AmirMahdi Ahmadinejad, Hamid Zarrabi-Zadeh. Finding Maximum Disjoint Set of Boundary Rectangles With Application to PCB Routing
421 -- 434Xiaochen Liu, Shupeng Sun, Xin Li 0001, Haifeng Qian, Pingqiang Zhou. Machine Learning for Noise Sensor Placement and Full-Chip Voltage Emergency Detection
435 -- 448Christian Pilato, Paolo Mantovani, Giuseppe Di Guglielmo, Luca P. Carloni. System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip
449 -- 462Haoran Li, Xuan Wang, Jiang Xu, Zhe Wang, Rafael K. V. Maeda, Zhehui Wang, Peng Yang, Luan H. K. Duong, Zhifei Wang. Energy-Efficient Power Delivery System Paradigms for Many-Core Processors
463 -- 474Ronald Shawn Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li. DFM Evaluation Using IC Diagnosis Data
475 -- 488Pablo González de Aledo, Nils Przigoda, Robert Wille, Rolf Drechsler, Pablo Sánchez Espeso. Towards a Verification Flow Across Abstraction Levels Verifying Implementations Against Their Formal Specification
489 -- 502Mohammad Fawaz, Farid N. Najm. Fast Vectorless RLC Grid Verification
503 -- 507Irith Pomeranz. LFSR-Based Generation of Multicycle Tests
508 -- 512Elishai Ezra Tsur. Computer Aided Design of a Microscale Digitally Controlled Hydraulic Resistor
513 -- 517Chao Wang, Lei Gong, Qi Yu, Xi Li, Yuan Xie, Xuehai Zhou. DLAU: A Scalable Deep Learning Accelerator Unit on FPGA

Volume 36, Issue 2

201 -- 213Yingjie Lao, Bo Yuan, Chris H. Kim, Keshab K. Parhi. Reliable PUF-Based Local Authentication With Self-Correction
214 -- 226Florian Sagstetter, Martin Lukasiewycz, Samarjit Chakraborty. Generalized Asynchronous Time-Triggered Scheduling for FlexRay
227 -- 240Zidong Du, Shaoli Liu, Robert Fasthuber, Tianshi Chen, Paolo Ienne, Ling Li, Tao Luo, Qi Guo, Xiaobing Feng, Yunji Chen, Olivier Temam. An Accelerator for High Efficient Vision Processing
241 -- 254Rui Wang, Dan Jia, Tao Li, Depei Qian. Achieving Versatile and Simultaneous Cache Optimizations With Nonvolatile SRAM
255 -- 264Xiaodao Chen, Dongmei Zhang, Lizhe Wang, Ning Jia, Zhijiang Kang, Yun Zhang, Shiyan Hu. Design Automation for Interwell Connectivity Estimation in Petroleum Cyber-Physical Systems
265 -- 278Arash Saifhashemi, Hsin-Ho Huang, Peter A. Beerel. Reconditioning: A Framework for Automatic Power Optimization of QDI Circuits
279 -- 284Qiao Chen, Xiaoping Wang, Haibo Wan, Ran Yang. A Logic Circuit Design for Perfecting Memristor-Based Material Implication
285 -- 298Changlin Chen, Yaowen Fu, Sorin Cotofana. Towards Maximum Utilization of Remained Bandwidth in Defected NoC Links
299 -- 312Zhuoran Zhao, Andreas Gerstlauer, Lizy K. John. Source-Level Performance, Energy, Reliability, Power and Thermal (PERPT) Simulation
313 -- 324Xin Lou, Ya Jun Yu, Pramod Kumar Meher. Lower Bound Analysis and Perturbation of Critical Path for Area-Time Efficient Multiple Constant Multiplications
325 -- 335Ahish Mysore Somashekar, Spyros Tragoudas. Diagnosis of Performance Limiting Segments in Integrated Circuits Using Path Delay Measurements
336 -- 345Jaeseok Park, Hyunyul Lim, Sungho Kang. FRESH: A New Test Result Extraction Scheme for Fast TSV Tests
346 -- 350Liheng Zhu, Yasmine Badr, Shaodi Wang, Subramanian Iyer, Puneet Gupta. Assessing Benefits of a Buried Interconnect Layer in Digital Designs
351 -- 355Irith Pomeranz. Sequential Test Generation Based on Preferred Primary Input Cubes

Volume 36, Issue 12

1933 -- 0Vijaykrishnan Narayanan. Editorial
1940 -- 1953Mousumi Bhanja, Baidya Nath Ray. Synthesis Procedure of Configurable Building Block-Based Linear and Nonlinear Analog Circuits
1954 -- 1967Michael Zwerger, Maximilian Neuner, Helmut Graeb. Analog Power-Down Synthesis
1968 -- 1977Abhishek Chakraborty, Bodhisatwa Mazumdar, Debdeep Mukhopadhyay. A Combined Power and Fault Analysis Attack on Protected Grain Family of Stream Ciphers
1978 -- 1988Sangyun Oh, Hongsik Lee, Jongeun Lee. Efficient Execution of Stream Graphs on Coarse-Grained Reconfigurable Architectures
1989 -- 2002Yongxiang Bao, Mingsong Chen, Qi Zhu, Tongquan Wei, Frédéric Mallet, Tingliang Zhou. Quantitative Performance Evaluation of Uncertainty-Aware Hybrid AADL Designs Using Statistical Model Checking
2003 -- 2016Duo Liu, Yi Lin, Po-Chun Huang, Xiao Zhu, Liang Liang. Durable and Energy Efficient In-Memory Frequent-Pattern Mining
2017 -- 2029Priyadarshini Panda, Aayush Ankit, Parami Wijesinghe, Kaushik Roy. FALCON: Feature Driven Selective Classification for Energy-Efficient Image Recognition
2030 -- 2043Cong Hao, Nan Wang, Takeshi Yoshimura. th in High-Level Synthesis
2044 -- 2051German Agustin Patterson, J. Sune, E. Miranda. Voltage-Driven Hysteresis Model for Resistive Switching: SPICE Modeling and Circuit Applications
2052 -- 2065Yu-Min Lee, Chia-Tung Ho. InTraSim: Incremental Transient Simulation of Power Grids
2066 -- 2079Zhi-Wen Lin, Yao-Wen Chang. Cut Redistribution With Directed-Self-Assembly Templates for Advanced 1-D Gridded Layouts
2080 -- 2092Iris Hui-Ru Jiang, Hua-Yu Chang. Multiple Patterning Layout Decomposition Considering Complex Coloring Rules and Density Balancing
2093 -- 2105Gang Wu, Chris Chu. Two Approaches for Timing-Driven Placement by Lagrangian Relaxation
2106 -- 2119Yun Liang 0001, Wai Teng Tang, Ruizhe Zhao, Mian Lu, Huynh Phung Huynh, Rick Siow Mong Goh. Scale-Free Sparse Matrix-Vector Multiplication on Many-Core Architectures
2120 -- 2133Ali Ahmadi, Haralampos-G. D. Stratigopoulos, Ke Huang, Amit Nahar, Bob Orr, Michael Pas, John M. Carulli, Yiorgos Makris. Yield Forecasting Across Semiconductor Fabrication Plants and Design Generations
2134 -- 2138Arman Roohi, Ramtin Zand, Deliang Fan, Ronald F. DeMara. Voltage-Based Concatenatable Full Adder Using Spin Hall Effect Switching
2139 -- 2143Irith Pomeranz. Close-to-Functional Broadside Tests With a Safety Margin
2144 -- 2148Yanwen Guo, Xiaoping Wang, Zhigang Zeng. A Compact Memristor-CMOS Hybrid Look-Up-Table Design and Potential Application in FPGA
2149 -- 2153Weize Yu, Selçuk Köse. False Key-Controlled Aggressive Voltage Scaling: A Countermeasure Against LPA Attacks

Volume 36, Issue 11

1765 -- 1778Guoyong Shi. Topological Approach to Symbolic Pole-Zero Extraction Incorporating Design Knowledge
1779 -- 1789Ming Tang, Zhenlong Qiu, Zhipeng Guo, Yi Mu, Xinyi Huang, Jean-Luc Danger. A Generic Table Recomputation-Based Higher-Order Masking
1790 -- 1803Peter Waszecki, Philipp Mundhenk, Sebastian Steinhorst, Martin Lukasiewycz, Ramesh Karri, Samarjit Chakraborty. Automotive Electrical and Electronic Architecture Security via Distributed In-Vehicle Traffic Monitoring
1804 -- 1816Mengying Zhao, Chenchen Fu, Zewei Li, Qing'an Li, Mimi Xie, Yongpan Liu, Jingtong Hu, Zhiping Jia, Chun Jason Xue. Stack-Size Sensitive On-Chip Memory Backup for Self-Powered Nonvolatile Processors
1817 -- 1830Gai Liu, Mingxing Tan, Steve Dai, Ritchie Zhao, Zhiru Zhang. Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests
1831 -- 1841Ben Schaeffer. Product Transformation and Heuristic EXOR-AND-OR Logic Synthesis of Incompletely Specified Functions
1842 -- 1855Mathias Soeken, Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli. Exact Synthesis of Majority-Inverter Graphs and Its Applications
1856 -- 1868Jai-Ming Lin, Jung-An Yang. Routability-Driven TSV-Aware Floorplanning Methodology for Fixed-Outline 3-D ICs
1869 -- 1882Yuxiang Fu, Li Li, Kun Wang, Chuan Zhang. Kalman Predictor-Based Proactive Dynamic Thermal Management for 3-D NoC Systems With Noisy Thermal Sensors
1883 -- 1896Ivan Ukhov, Petru Eles, Zebo Peng. Probabilistic Analysis of Electronic Systems via Adaptive Hierarchical Interpolation
1897 -- 1910Ermao Cai, Diana Marculescu. Temperature Effect Inversion-Aware Power-Performance Optimization for FinFET-Based Multicore Systems
1911 -- 1924Fotis Vartziotis, Xrysovalantis Kavousianos, Panagiotis Georgiou, Krishnendu Chakrabarty. dd SoCs
1925 -- 1929Mahdieh Grailoo, Bijan Alizadeh, Behjat Forouzandeh. Improved Range Analysis in Fixed-Point Polynomial Data-Path

Volume 36, Issue 10

1593 -- 1619Tiantao Lu, Caleb Serafy, Zhiyuan Yang, Sandeep Kumar Samal, Sung Kyu Lim, Ankur Srivastava. TSV-Based 3-D ICs: Design Methods and Tools
1620 -- 1632Rodrigo Alves De Lima Moreto, Carlos Eduardo Thomaz, Salvador Pinillos Gimenez. Gaussian Fitness Functions for Optimizing Analog CMOS Integrated Circuits
1633 -- 1646Xiaoming Chen, Lin Wang, Yu Wang 0002, Yongpan Liu, Huazhong Yang. A General Framework for Hardware Trojan Detection in Digital Circuits by Statistical Learning Algorithms
1647 -- 1659Cunxi Yu, Xiangyu Zhang, Duo Liu, Maciej J. Ciesielski, Daniel Holcomb. Incremental SAT-Based Reverse Engineering of Camouflaged Logic Circuits
1660 -- 1673Yongpan Liu, Jinshan Yue, Hehe Li, Qinghang Zhao, Mengying Zhao, Chun Jason Xue, Guangyu Sun, Meng-Fan Chang, Huazhong Yang. Data Backup Optimization for Nonvolatile SRAM in Energy Harvesting Sensor Nodes
1674 -- 1687Jincheng Su, Fan Yang, Xuan Zeng, Dian Zhou, Jie Chen. Efficient Memory Partitioning for Parallel Data Access in FPGA via Data Reuse
1688 -- 1701Deepashree Sengupta, Sachin S. Sapatnekar. Estimating Circuit Aging Due to BTI and HCI Using Ring-Oscillator-Based Sensors
1702 -- 1715Sara Vinco, Yukai Chen, Franco Fummi, Enrico Macii, Massimo Poncino. A Layered Methodology for the Simulation of Extra-Functional Properties in Smart Systems
1716 -- 1724Shreepad Panth, Kambiz Samadi, Yang Du, Sung Kyu Lim. Shrunk-2-D: A Physical Design Methodology to Build Commercial-Quality Monolithic 3-D ICs
1725 -- 1738Nezam Rohbani, Zahra Shirmohammadi, Maryam Zare, Seyed Ghassem Miremadi. LAXY: A Location-Based Aging-Resilient Xy-Yx Routing Algorithm for Network on Chip
1739 -- 1749Irith Pomeranz. Restoration-Based Merging of Functional Test Sequences
1750 -- 1758Srivatsan Subramanian, Mehran Mozaffari Kermani, Reza Azarderakhsh, Mehrdad Nojoumian. Reliable Hardware Architectures for Cryptographic Block Ciphers LED and HIGHT
1759 -- 1763Young-Woo Lee, Hyeonchan Lim, Sungho Kang. Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs

Volume 36, Issue 1

1 -- 14Colin C. McAndrew. Layout Symmetries: Quantification and Application to Cancel Nonlinear Process Gradients
15 -- 26Reinier Gonzalez-Echevarria, Elisenda Roca, Rafael Castro-López, Francisco V. Fernández, Javier Sieiro, Jose Maria Lopez-Villegas, Neus Vidal. An Automated Design Methodology of RF Circuits by Using Pareto-Optimal Fronts of EM-Simulated Inductors
27 -- 40Mengying Zhao, Yuan Xue, Jingtong Hu, Chengmo Yang, Tiantian Liu, Zhiping Jia, Chun Jason Xue. State Asymmetry Driven State Remapping in Phase Change Memory
41 -- 54Skyler Windh, Calvin Phung, Daniel T. Grissom, Paul Pop, Philip Brisk. Performance Improvements and Congestion Reduction for Routing-Based Synthesis for Digital Microfluidic Biochips
55 -- 68Kai Hu, Trung Anh Dinh, Tsung-Yi Ho, Krishnendu Chakrabarty. Control-Layer Routing and Control-Pin Minimization for Flow-Based Microfluidic Biochips
69 -- 82Jeffrey McDaniel, Zachary Zimmerman, Daniel T. Grissom, Philip Brisk. PCB Escape Routing and Layer Minimization for Digital Microfluidic Biochips
83 -- 96Jeffrey Goeders, Steven J. E. Wilton. Signal-Tracing Techniques for In-System FPGA Debugging of High-Level Synthesis Circuits
97 -- 105Benjamin Carrión Schäfer. Enabling High-Level Synthesis Resource Sharing Design Space Exploration in FPGAs Through Automatic Internal Bitwidth Adjustments
106 -- 119Matthew J. Walker, Stephan Diestelhorst, Andreas Hansson, Anup Das 0001, Sheng Yang, Bashir M. Al-Hashimi, Geoff V. Merrett. Accurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUs
120 -- 133Zhezhao Xu, Chao Zhang, Wenjian Yu. Floating Random Walk-Based Capacitance Extraction for General Non-Manhattan Conductor Structures
134 -- 145Chien-Chih Huang, Jwu-E Chen, Chin-Long Wey. PACES: A Partition-Centering-Based Symmetry Placement for Binary-Weighted Unit Capacitor Arrays
146 -- 155Chung-Han Chou, Yenting Lai, Yi-Chun Chang, Chih-Yu Wang, Liang-Chia Cheng, Shih-Hsu Huang, Shih-Chieh Chang. Ping-Pong Mesh: A New Resonant Clock Design for Surge Current and Area Overhead Reduction
156 -- 169Shao-Yun Fang, Yun-Xiang Hong, Yi-Zhen Lu. Simultaneous Guiding Template Optimization and Redundant via Insertion for Directed Self-Assembly
170 -- 183Tuck Boon Chan, Puneet Gupta, Kwangsoo Han, Abde Ali Kagalwalla, Andrew B. Kahng. Benchmarking of Mask Fracturing Heuristics
184 -- 197Jhen-Zong Chen, Kuen-Jong Lee. Test Stimulus Compression Based on Broadcast Scan With One Single Input