Journal: IEEE Trans. VLSI Syst.

Volume 16, Issue 9

1101 -- 1113Yu Wang, Ku He, Rong Luo, Hui Wang, Huazhong Yang. Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits
1114 -- 1126Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry. A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs
1127 -- 1140Ayse Kivilcim Coskun, T. T. Rosing, Keith Whisnant, Kenny C. Gross. Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs
1141 -- 1150Mitra Mirhassani, Majid Ahmadi, Graham A. Jullien. Low-Power Mixed-Signal CVNS-Based 64-Bit Adder for Media Signal Processing
1151 -- 1161Ming-Der Shieh, Jun-Hong Chen, Hao-Hsuan Wu, Wen-Ching Lin. A New Modular Exponentiation Architecture for Efficient Design of RSA Cryptosystem
1162 -- 1175Kimmo U. Järvinen, Jorma Skyttä. On Parallelization of High-Speed Processors for Elliptic Curve Cryptography
1176 -- 1186Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla. A Trace-Based Framework for Verifiable GALS Composition of IPs
1187 -- 1198Takefumi Yoshikawa, Takashi Hirata, Tsuyoshi Ebuchi, Toru Iwata, Yukio Arima, Hiroyuki Yamauchi. An Over-1-Gb/s Transceiver Core for Integration Into Large System-on-Chips for Consumer Electronics
1199 -- 1209Praveen Bhojwani, Rabi N. Mahapatra. Robust Concurrent Online Testing of Network-on-Chip-Based SoCs
1210 -- 1219Sándor P. Fekete, Jan van der Veen, Ali Ahmadinia, Diana Göhringer, Mateusz Majer, Jürgen Teich. Offline and Online Aspects of Defragmenting the Module Layout of a Partially Reconfigurable Device
1220 -- 1229A. Kapoor, Nikhil Jayakumar, Sunil P. Khatri. Dynamically De-Skewable Clock Distribution Methodology
1230 -- 1239Charbel J. Akl, Magdy A. Bayoumi. Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion
1240 -- 1243Dongsheng Ma, J. Wang, Minkyu Song. Adaptive On-Chip Power Supply With Robust One-Cycle Control Technique
1243 -- 1248A. Elyada, Ran Ginosar, U. Weiser. Low-Complexity Policies for Energy-Performance Tradeoff in Chip-Multi-Processors
1248 -- 1251Ioannis Voyiatzis. An Accumulator-Based Compaction Scheme For Online BIST of RAMs
1251 -- 1256Lin Zhang, A. Carpenter, B. Ciftcioglu, A. Garg, M. Huang, Hui Wu. Injection-Locked Clocking: A Low-Power Clock Distribution Scheme for High-Performance Microprocessors

Volume 16, Issue 8

941 -- 951Suchismita Roy, P. P. Chakrabarti, Pallab Dasgupta. Satisfiability Models for Maximum Transition Power
952 -- 964Han-Lin Li, Chia-Lin Yang, Hung-Wei Tseng. Energy-Aware Flash Memory Management in Virtual Memory System
965 -- 974Yen-Jen Chang, Yuan-Hong Liao. Hybrid-Type CAM Design for Both Power and Performance Efficiency
975 -- 984Venkataraman Mahalingam, N. Ranganathan, J. E. Harlow. A Fuzzy Optimization Approach for Variation Aware Power Minimization During Gate Sizing
985 -- 998Daler N. Rakhmatov. Energy Budget Approximations for Battery-Powered Systems With a Fixed Schedule of Active Intervals
999 -- 1008Ricardo Chaves, Georgi Kuzmanov, Leonel Sousa, Stamatis Vassiliadis. Cost-Efficient SHA Hardware Accelerators
1009 -- 1020Lei Wang, Niral Patel. Improving Error Tolerance for Multithreaded Register Files
1021 -- 1034Zhonghai Lu, Axel Jantsch. TDM Virtual-Circuit Configuration for Network-on-Chip
1035 -- 1045Pallav Gupta, Rui Zhang, Niraj K. Jha. Automatic Test Generation for Combinational Threshold Logic Networks
1046 -- 1057Dan Zhao, Yi Wang. MTNet: Design of a Wireless Test Framework for Heterogeneous Nanometer Systems-on-Chip
1058 -- 1071Chin-Teng Lin, Yuan-Chu Yu, Lan-Da Van. Cost-Effective Triple-Mode Reconfigurable Pipeline FFT/IFFT/2-D DCT Processor
1072 -- 1082Chung-Ming Chen, Chung-Ho Chen. Configurable VLSI Architecture for Deblocking Filter in H.264/AVC
1083 -- 1090Joshua Noseworthy, Miriam Leeser. Efficient Communication Between the Embedded Processor and the Reconfigurable Logic on an FPGA
1091 -- 1096Miriam J. Akl, Magdy A. Bayoumi. Transition Skew Coding for Global On-Chip Interconnect
1096 -- 1100S. Kwok, E. Y. Lam. Effective Uses of FPGAs for Brute-Force Attack on RC4 Ciphers

Volume 16, Issue 7

781 -- 791Kieran McLaughlin, Sakir Sezer, Holger Blume, Xin Yang, Friederich Kupzog, Tobias G. Noll. A Scalable Packet Sorting Circuit for High-Speed WFQ Packet Scheduling
792 -- 805Deepak Mathaikutty, Sandeep K. Shukla. MCF: A Metamodeling-Based Component Composition Framework - Composing SystemC IPs for Executable System Models
806 -- 815Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy. Profit Aware Circuit Design Under Process Variations Considering Speed Binning
816 -- 829Brian Swahn, Soha Hassoun. Electro-Thermal Analysis of Multi-Fin Devices
830 -- 836Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner. Transmission Gates Combined With Level-Restoring CMOS Gates Reduce Glitches in Low-Power Low-Frequency Multipliers
837 -- 850Giorgos Dimitrakopoulos, Costas Galanopoulos, Christos Mavrokefalidis, Dimitris Nikolos. Low-Power Leading-Zero Counting and Anticipation Logic for High-Speed Floating Point Units
851 -- 860Behnam Amelifard, Farzan Fallah, Massoud Pedram. Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology
861 -- 873Shengqi Yang, Wenping Wang, Tiehan Lv, Wayne Wolf, Narayanan Vijaykrishnan, Yuan Xie. Case Study of Reliability-Aware and Low-Power Design
874 -- 881David Choi, Kyu Choi, John D. Villasenor. New Non-Volatile Memory Structures for FPGA Architectures
882 -- 893Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arifur Rahman. Designing a 3-D FPGA: Switch Box Architecture and Thermal Issues
894 -- 907Mikhail Popovich, Michael Sotman, Avinoam Kolodny, Eby G. Friedman. Effective Radii of On-Chip Decoupling Capacitors
908 -- 921Mikhail Popovich, Eby G. Friedman, Michael Sotman, Avinoam Kolodny. On-Chip Power Distribution Grids With Multiple Supply Voltages for High-Performance Integrated Circuits
922 -- 926Xiaomeng Shi, Kiat Seng Yeo, Jianguo Ma, Manh Anh Do, Erping Li. Complex Shaped On-Wafer Interconnects Modeling for CMOS RFICs
926 -- 931Xrysovalantis Kavousianos, Emmanouil Kalligeros, Dimitris Nikolos. Multilevel-Huffman Test-Data Compression for IP Cores With Multiple Scan Chains
931 -- 936Irith Pomeranz, Sudhakar M. Reddy. Improving the Transition Fault Coverage of Functional Broadside Tests by Observation Point Insertion
936 -- 939Chua-Chin Wang, Chi-Chun Huang, Jian-Ming Huang, Chih-Yi Chang, Chih-Peng Li. ZigBee 868/915-MHz Modulator/Demodulator for Wireless Personal Area Network

Volume 16, Issue 6

609 -- 610Diana Marculescu, Jörg Henkel. Guest Editorial Special Section on Low-Power Electronics and Design
611 -- 619Dongsheng Ma, Feng Luo. Robust Multiple-Phase Switched-Capacitor DC-DC Power Converter With Digital Interleaving Regulation Scheme
620 -- 627Hidehiro Fujiwara, Koji Nii, Hiroki Noguchi, Junichi Miyakoshi, Yuichiro Murachi, Yasuhiro Morita, Hiroshi Kawaguchi, Masahiko Yoshimoto. Novel Video Memory Reduces 45 of Bitline Power Using Majority Logic and Data-Bit Reordering
628 -- 638Elham Safi, Andreas Moshovos, Andreas G. Veneris. L-CBF: A Low-Power, Fast Counting Bloom Filter Architecture
639 -- 649Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino. Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers
650 -- 661Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev, Kanad Ghose. Selective Writeback: Reducing Register File Pressure and Energy Consumption
662 -- 672Wonbok Lee, Kimish Patel, Massoud Pedram. GOP-Level Dynamic Thermal Management in MPEG-2 Decoding
673 -- 677Prashant Singh, Jae-sun Seo, David Blaauw, Dennis Sylvester. Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect
677 -- 682Jianwei Zhang, Yizheng Ye, Bin-Da Liu. A Current-Recycling Technique for Shadow-Match-Line Sensing in Content-Addressable Memories
683 -- 692Azadeh Davoodi, Ankur Srivastava. Variability Driven Gate Sizing for Binning Yield Optimization
693 -- 706Juan Carlos Baraza, Joaquin Gracia, Sara Blanc, Daniel Gil, Pedro J. Gil. Enhancement of Fault Injection Techniques Based on the Modification of VHDL Code
707 -- 713Rohit Singhal, Gwan Choi, Rabi N. Mahapatra. Data Handling Limits of On-Chip Interconnects
714 -- 724Chong Zhao, Yi Zhao, Sujit Dey. Intelligent Robustness Insertion for Optimal Transient Error Tolerance Improvement in VLSI Circuits
725 -- 732Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev, Stamatis Vassiliadis. Test Set Development for Cache Memory in Modern Microprocessors
733 -- 744Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung. Integrated Floorplanning, Module-Selection, and Architecture Generationfor Reconfigurable Devices
745 -- 754Kaveh Shakeri, James D. Meindl. Accelerated Modeling of Massively Coupled RLC Interconnects Using the Relative Inductance Extraction Method
755 -- 765Ying-Yen Chen, Jing-Jia Liou. Diagnosis Framework for Locating Failed Segments of Path Delay Faults
766 -- 770Sampo Tuuna, Li-Rong Zheng, Jouni Isoaho, Hannu Tenhunen. Modeling of On-Chip Bus Switching Current and Its Impact on Noise in Power Supply Grid
770 -- 774Shizhong Mei. Timing Jitter and Power Spectral Density of Random Walk Noise in VCO
774 -- 779Mohamed Anane, Hamid Bessalah, Mohamed Issad, Nadjia Anane, Hassen Salhi. Higher Radix and Redundancy Factor for Floating Point SRT Division

Volume 16, Issue 5

493 -- 503Katherine Compton, Scott Hauck. Automatic Design of Reconfigurable Domain-Specific Flexible Cores
504 -- 516Heng Tan, Ronald F. DeMara. A Multilayer Framework Supporting Autonomous Run-Time Partial Reconfiguration
517 -- 527Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel. A Compact and Accurate Gaussian Variate Generator
528 -- 541Sanjukta Bhanja, Sudeep Sarkar. Thermal Switching Error Versus Delay Tradeoffs in Clocked QCA Circuits
542 -- 553Daniele Rossi, André K. Nieuwland, Steven V. E. S. van Dijk, Richard P. Kleihorst, Cecilia Metra. Power Consumption of Fault Tolerant Busses
554 -- 564Banit Agrawal, Timothy Sherwood. Ternary CAM Power and Delay Model: Extensions and Uses
565 -- 578Yongmei Dai, Zhiyuan Yan, Ning Chen. Optimal Overlapped Message Passing Decoding of Quasi-Cyclic LDPC Codes
579 -- 588Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton. Practical Asynchronous Interconnect Network Design
589 -- 593Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng, Hannu Tenhunen. Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime
594 -- 598Chua-Chin Wang, Chi-Chun Huang, Ching-Li Lee, Tsai-Wen Cheng. A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic
598 -- 602John Keane, Hanyong Eom, Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim. Stack Sizing for Optimal Current Drivability in Subthreshold Circuits
603 -- 608Zhenyu Gu, Changyun Zhu, Li Shang, Robert P. Dick. Application-Specific MPSoC Reliability Optimization

Volume 16, Issue 4

337 -- 338I. Harris, D. Pradhan. Guest Editorial Special Section on Design Verification and Validation
339 -- 352Deepak Mathaikutty, Sreekumar V. Kodakara, Ajit Dingankar, Sandeep K. Shukla, David J. Lilja. MMV: A Metamodeling Based Microprocessor Validation Environment
353 -- 364Panagiotis Manolios, Sudarshan K. Srinivasan. A Refinement-Based Compositional Reasoning Framework for Pipelined Machine Verification
365 -- 375Shih-Chieh Wu, Chun-Yao Wang, Yung-Chih Chen. Novel Probabilistic Combinational Equivalence Checking
376 -- 387Namrata Shekhar, Priyank Kalla, M. Brandon Meredith, Florian Enescu. Simulation Bounds for Equivalence Verification of Polynomial Datapaths Using Finite Ring Algebra
388 -- 396Jayanta Bhadra, Ekaterina Trofimova, Magdy S. Abadir. Validating Power Architecture:::TM::: Technology-Based MPSoCs Through Executable Specifications
397 -- 407Alfredo Benso, Stefano Di Carlo, Paolo Prinetto, Yervant Zorian. IEEE Standard 1500 Compliance Verification for Embedded Cores
408 -- 421Siva Kumar Sastry Hari, Vishnu Vardhan Reddy Konda, V. Kamakoti, Vivekananda M. Vedula, K. S. Maneperambil. Automatic Constraint Based Test Generation for Behavioral HDL Models
422 -- 431David de Andrés, Juan Carlos Ruiz, Daniel Gil, Pedro J. Gil. Fault Emulation for Dependability Evaluation of VLSI Systems
432 -- 443Philip Y. Paik, Vamsee K. Pamula, Krishnendu Chakrabarty. Adaptive Cooling of Integrated Circuits Using Digital Microfluidics
444 -- 455Yuh-Fang Tsai, Feng Wang 0004, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin. Design Space Exploration for 3-D Cache
456 -- 465Jonggab Kil, Jie Gu, Chris H. Kim. A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting
466 -- 475Dongwan Shin, Andreas Gerstlauer, Rainer Dömer, Daniel Gajski. An Interactive Design Environment for C-Based High-Level Synthesis of RTL Processors
476 -- 487Eric Karl, David Blaauw, Dennis Sylvester, Trevor N. Mudge. Multi-Mechanism Reliability Modeling and Management in Dynamic Systems
488 -- 492Zhiyu Liu, Volkan Kursun. Characterization of a Novel Nine-Transistor SRAM Cell

Volume 16, Issue 3

217 -- 228Xiaofeng Wu, Vassilios A. Chouliaras, José L. Núñez-Yáñez, R. M. Goodall. A Novel Delta Sigma Control System Processor and Its VLSI Implementation
229 -- 240Eriko Nurvitadhi, Jumnit Hong, Shih-Lien Lu. Active Cache Emulator
241 -- 248Ruiming Chen, Hai Zhou. Fast Estimation of Timing Yield Bounds for Process Variations
249 -- 262Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar. Body Bias Voltage Computations for Process and Temperature Compensation
263 -- 276Achintya Halder, Soumendu Bhattacharya, Abhijit Chatterjee. System-Level Specification Testing Of Wireless Transceivers
277 -- 288Chin-Lung Su, Chih-Wea Tsai, Cheng-Wen Wu, Chien-Chung Hung, Young-Shying Chen, Ding-Yeong Wang, Yuan-Jen Lee, Ming-Jer Kao. Write Disturbance Modeling and Testing for MRAM
289 -- 301Hyuk-Jun Lee, Eui-Young Chung. Scalable QoS-Aware Memory Controller for High-Bandwidth Packet Memory
302 -- 313Ke Xu, Oliver Chiu-sing Choy. A Power-Efficient and Self-Adaptive Prediction Engine for H.264/AVC Decoding
314 -- 318Srinivasa R. Sridhara, Ganesh Balamurugan, Naresh R. Shanbhag. Joint Equalization and Coding for On-Chip Bus Communication
318 -- 321C. Popa. Improved Accuracy Pseudo-Exponential Function Generator With Applications in Analog Signal Processing
321 -- 326Youngmoon Choi, Earl E. Swartzlander Jr.. Speculative Carry Generation With Prefix Adder
326 -- 331Sabyasachi Das, Sunil P. Khatri. A Novel Hybrid Parallel-Prefix Adder Architecture With Efficient Timing-Area Characteristic
331 -- 335Shanq-Jang Ruan, Chi-Yu Wu, Jui-Yuan Hsieh. Low Power Design of Precomputation-Based Content-Addressable Memory

Volume 16, Issue 2

113 -- 114Toomas P. Plaks. Guest Editorial Special Section on Configurable Computing Design-II: Hardware Level Reconfiguration
115 -- 123Paul Beckett. A Low-Power Reconfigurable Logic Array Based on Double-Gate Transistors
124 -- 133Yan Lin, Lei He, Mike Hutton. Stochastic Physical Synthesis Considering Prerouting Interconnect Uncertainty and Process Variation for FPGAs
134 -- 143Peter Zipf. Applying Dynamic Reconfiguration for Fault Tolerance in Fine-Grained Logic Arrays
144 -- 155Guy Gogniat, Tilman Wolf, Wayne P. Burleson, Jean-Philippe Diguet, Lilian Bossuet, Romain Vaslin. Reconfigurable Hardware for High-Security/ High-Performance Embedded Systems: The SAFES Perspective
156 -- 166Ioannis Sourdis, Dionisios N. Pnevmatikatos, Stamatis Vassiliadis. Scalable Multigigabit Pattern Matching for Packet Inspection
167 -- 176Ronald Scrofano, Ling Zhuo, Viktor K. Prasanna. Area-Efficient Arithmetic Expression Evaluation Using Deeply Pipelined Floating-Point Cores
177 -- 187Michael J. Beauchamp, Scott Hauck, Keith D. Underwood, K. Scott Hemmert. Architectural Modifications to Enhance the Floating-Point Performance of FPGAs
188 -- 197Xinming Huang, Cao Liang, Jing Ma. System Architecture and Implementation of MIMO Sphere Decoders on FPGA
198 -- 205W. N. Chelton, Mohammed Benaissa. Fast Elliptic Curve Cryptography on FPGA
206 -- 209Jie Gu, John Keane, Sachin S. Sapatnekar, Chris H. Kim. Statistical Leakage Estimation of Double Gate FinFET Devices Considering the Width Quantization Property
210 -- 212Saralees Nadarajah, Samuel Kotz. Exact Distribution of the Max/Min of Two Gaussian Random Variables

Volume 16, Issue 12

1589 -- 1595Sungjoon Jung, Tag Gon Kim. An Operation and Interconnection Sharing Algorithm for Reconfiguration Overhead Reduction Using Static Partial Reconfiguration
1596 -- 1608Nazish Aslam, Mark Milward, Ahmet T. Erdogan, Tughrul Arslan. Code Compression and Decompression for Coarse-Grain Reconfigurable Architectures
1609 -- 1619Hao Yu, Yiyu Shi, Lei He, Tanay Karnik. Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power
1620 -- 1630Tae-Hwan Kim, In-Cheol Park. Low-Power and High-Accurate Synchronization for IEEE 802.16d Systems
1631 -- 1638M. Bennaser, Yao Guo, Csaba Andras Moritz. Data Memory Subsystem Resilient to Process Variations
1639 -- 1647D. E. Khalil, Muhammad M. Khellah, Nam Sung Kim, Yehea I. Ismail, Tanay Karnik, V. K. De. Accurate Estimation of SRAM Dynamic Stability
1648 -- 1656B. Taskin, Bo Hong. Improving Line-Based QCA Memory Cell Design Through Dual Phase Clocking
1657 -- 1665Jente B. Kuang, Keunwoo Kim, Ching-Te Chuang, Hung C. Ngo, F. H. Gebara, Kevin J. Nowka. Circuit Techniques Utilizing Independent Gate Control in Double-Gate Technologies
1666 -- 1676Jason D. Bakos, Panormitis E. Elenis. A Special-Purpose Architecture for Solving the Breakpoint Median Problem
1677 -- 1686O. Katz, D. A. Ramon, Israel A. Wagner. A Robust Random Number Generator Based on a Differential Current-Mode Chaos
1687 -- 1695Cheng Jia, Linda S. Milor. A BIST Circuit for DLL Fault Detection
1696 -- 1707Talal Bonny, Jörg Henkel. Efficient Code Compression for Embedded Processors
1708 -- 1712I-Chyn Wey, You-Gang Chen, An-Yeu Wu. Design and Analysis of Isolated Noise-Tolerant (INT) Technique in Dynamic CMOS Circuits
1713 -- 1717Minsik Cho, David Z. Pan. Fast Substrate Noise Aware Floorplanning for Mixed Signal SOC Designs
1717 -- 1721Mikhail Popovich, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin. Efficient Distributed On-Chip Decoupling Capacitors for Nanoscale ICs
1722 -- 1725Ignacio Bravo, Manuel Mazo, José Luis Lázaro, Pedro Jiménez, Alfredo Gardel, Marta Marrón. Novel HW Architecture Based on FPGAs Oriented to Solve the Eigen Problem
1727 -- 1731Eric Quinnell, Earl E. Swartzlander Jr., Carl Lemonds. Bridge Floating-Point Fused Multiply-Add Design

Volume 16, Issue 11

1429 -- 1440Zhanglei Wang, Krishnendu Chakrabarty. Test Data Compression Using Selective Encoding of Scan Slices
1441 -- 1453Dimitris Gizopoulos, Mihalis Psarakis, Miltiadis Hatzimihail, M. Maniatakos, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi. Systematic Software-Based Self-Test for Pipelined Processors
1454 -- 1464Bertrand Le Gal, Emmanuel Casseau, Sylvain Huet. Dynamic Memory Access Management for High-Performance DSP Applications Using High-Level Synthesis
1465 -- 1474Zexin Pan, B. Earl Wells. Hardware Supported Task Scheduling on Dynamically Reconfigurable SoC Architectures
1475 -- 1487Benjamin Carrión Schäfer, Taewhan Kim. Hotspots Elimination and Temperature Flattening in VLSI Circuits
1488 -- 1498Sheng-Chih Lin, Kaustav Banerjee. A Design-Specific and Thermally-Aware Methodology for Trading-Off Power and Performance in Leakage-Dominant CMOS Technologies
1499 -- 1512Jorge Campos, Hussain Al-Asaad. A Novel Mutation-Based Validation Paradigm for High-Level Hardware Descriptions
1513 -- 1520Stephan Henzler, Siegmar Koeppe. Design and Application of Power Optimized High-Speed CMOS Frequency Dividers
1521 -- 1534Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton. GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering
1535 -- 1544Kamran Farzan, David A. Johns. A Robust 4-PAM Signaling Scheme for Inter-Chip Links Using Coding in Space
1545 -- 1558Manuel G. Gericota, Gustavo R. Alves, Miguel L. Silva, José M. Ferreira. Reliability and Availability in Reconfigurable Computing: A Basis for a Common Solution
1559 -- 1566K. Takeuchi, A. Yoshikawa, M. Komoda, K. Kotani, H. Matsushita, Y. Katsuki, Y. Yamamoto, T. Sato. Clock-Skew Test Module for Exploring Reliable Clock-Distribution Under Process and Global Voltage-Temperature Variations
1567 -- 1580Jyu-Yuan Lai, Chih-Tsun Huang. Elixir: High-Throughput Cost-Effective Dual-Field Processors and the Design Framework for Elliptic Curve Cryptography
1581 -- 1588Xiongfei Meng, Resve A. Saleh, Karim Arabi. Layout of Decoupling Capacitors in IP Blocks for 90-nm CMOS

Volume 16, Issue 10

1257 -- 1258Paolo Ienne, P. Petrov. Guest Editorial Special Section on Application Specific Processors
1259 -- 1267Paolo Bonzini, Laura Pozzi. Recurrence-Aware Instruction Set Selection for Extensible Embedded Processors
1268 -- 1280Kieron Turkington, Turkington A. Constantinides, Kostas Masselos, Peter Y. K. Cheung. Outer Loop Pipelining for Application Specific Datapaths in FPGAs
1281 -- 1294Kingshuk Karuri, Anupam Chattopadhyay, Xiaolin Chen, David Kammler, Ling Hao, Rainer Leupers, Heinrich Meyr, Gerd Ascheid. A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors
1295 -- 1308Lars Bauer, Muhammad Shafique, Jörg Henkel. Efficient Resource Utilization for an Extensible Processor Through Dynamic Instruction Set Adaptation
1309 -- 1320Timo Vogt, Norbert Wehn. A Reconfigurable ASIP for Convolutional and Turbo Decoding in an SDR Environment
1321 -- 1334P. Dang. High Performance Architecture of an Application Specific Processor for the H.264 Deblocking Filter
1335 -- 1345Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf. A Processing Path Dispatcher in Network Processor MPSoCs
1346 -- 1357Hong Lu, A. Forin. Automatic Processor Customization for Zero-Overhead Online Software Verification
1358 -- 1371Fan-Min Li, Cheng-Hung Lin, An-Yeu Wu. Unified Convolutional/Turbo Decoder Design Using Tile-Based Timing Analysis of VA/MAP Kernel
1372 -- 1384Saihua Lin, Huazhong Yang, Rong Luo. A New Family of Sequential Elements With Built-in Soft Error Tolerance for Dual-VDD Systems
1385 -- 1398Bing-Fei Wu, Hsin-Yuan Peng, Tung-Lung Yu. Efficient Hierarchical Motion Estimation Algorithm and Its VLSI Architecture
1399 -- 1412Girish Varatkar, Naresh R. Shanbhag. Error-Resilient Motion Estimation Architecture
1413 -- 1426Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey. Dynamically Configurable Bus Topologies for High-Performance On-Chip Communication

Volume 16, Issue 1

1 -- 2Toomas P. Plaks. Guest Editorial Special Section on Configurable Computing Design- I: High-Level Reconfiguration
3 -- 13Gerard K. Rauwerda, Paul M. Heysters, Gerard J. M. Smit. Towards Software Defined Radios Using Coarse-Grained Reconfigurable Hardware
14 -- 23Mitchell J. Myjak, José G. Delgado-Frias. A Medium-Grain Reconfigurable Architecture for DSP: VLSI Design, Benchmark Mapping, and Performance
24 -- 33Vincent Nollet, Prabhat Avasare, Hendrik Eeckhaut, Diederik Verkest, Henk Corporaal. Run-Time Management of a MPSoC Containing FPGA Fabric Tiles
34 -- 44David L. Andrews, Ron Sass, Erik Anderson, Jason Agron, Wesley Peck, Jim Stevens, Fabrice Baijot, Ed Komp. Achieving Programming Model Abstractions for Reconfigurable Computing
45 -- 56Jingzhao Ou, Viktor K. Prasanna. A Cooperative Management Scheme for Power Efficient Implementations of Real-Time Operating Systems on Soft Processors
57 -- 65Sherif Yusuf, Wayne Luk, Morris Sloman, Naranker Dulay, Emil C. Lupu, Geoffrey Brown. Reconfigurable Architecture for Network Flow Analysis
66 -- 74Justin L. Tripp, Maya Gokhale, Anders A. Hansson. A Case Study of Hardware/Software Partitioning of Traffic Simulation on the Cray XD1
75 -- 85Sami Khawam, Ioannis Nousias, Mark Milward, Ying Yi, Mark Muir, Tughrul Arslan. The Reconfigurable Instruction Cell Array
86 -- 97Kanak Agarwal, Sani R. Nassif. The Impact of Random Device Variation on SRAM Cell Stability in Sub-90-nm CMOS Technologies
98 -- 107Irith Pomeranz, Sudhakar M. Reddy. Transition Path Delay Faults: A New Path Delay Fault Model for Small and Large Delay Defects
108 -- 112C. Y. Chang, H. M. Chen. Design Migration From Peripheral ASIC Design to Area-I/O Flip-Chip Design by Chip I/O Planning and Legalization