Abstract is missing.
- The future of radiosBehzad Razavi. 1-8 [doi]
- Low-voltage read/write circuit design for transistorless ReRAM crossbar arrays in 180nm CMOS technologyJury Sandrini, Tugba Demirci, Maxime Thammasack, Davide Sacchetto, Yusuf Leblebici. 9-12 [doi]
- Nonvolatile logic and memory devices based on spintronicsTetsuo Endoh. 13-16 [doi]
- The applications of memristor devices in next-generation cortical processor designsHai Li, Beiye Liu, Xiaoxiao Liu, Mengjie Mao, Yiran Chen, Qing Wu, Qinru Qiu. 17-20 [doi]
- Enabling phase-change memory for data-centric computing: Technology, circuitand systemJing Li. 21-24 [doi]
- Public key protocol for usage-based licensing of FPGA IP coresLi Zhang, Chip-Hong Chang. 25-28 [doi]
- VeriCoq: A Verilog-to-Coq converter for proof-carrying hardware automationMohammad-Mahdi Bidmeshki, Yiorgos Makris. 29-32 [doi]
- Stable and secure delay-based physical unclonable functions using device agingTeng Xu, Miodrag Potkonjak. 33-36 [doi]
- A secure design-for-test infrastructure for lifetime security of SoCsJerry Backer, Sk Subidh Ali, Kurt Rosenfeld, David Hély, Ozgur Sinanoglu, Ramesh Karri. 37-40 [doi]
- Fault-tolerant ripple-carry binary adder using partial triple modular redundancy (PTMR)Rahul Parhi, Chris H. Kim, Keshab K. Parhi. 41-44 [doi]
- Energy-efficient inexact speculative adder with high performance and accuracy controlVincent Camus, Jeremy Schlachter, Christian C. Enz. 45-48 [doi]
- Automated selection of check variables for area-efficient soft-error tolerant datapath synthesisJunghoon Oh, Mineo Kaneko. 49-52 [doi]
- A new unified modular adder/subtractor for arbitrary moduliThian Fatt Tay, Chip-Hong Chang. 53-56 [doi]
- Seizure detection exploiting EMD-wavelet analysis of EEG signalsCelia Shahnaz, R. H. Md. Rafi, Shaikh Anowarul Fattah, Wei-Ping Zhu, M. Omair Ahmad. 57-60 [doi]
- A novel algorithm for time-varying gene regulatory networks identification with biological state change detectionLi Zhang, Ho-Chun Wu, Shing-Chow Chan. 61-64 [doi]
- Effects of two new features of approximate entropy and sample entropy on cardiac arrest predictionYumeng Gao, Zhiping Lin, Tongtong Zhang, Nan Liu, Tianchi Liu, Wee Ser, Zhixiong Koh, Marcus Eng Hock Ong. 65-68 [doi]
- An accurate clustering algorithm for fast protein-profiling using SCICA on MALDI-TOFAmit Acharyya, Mavuduru Neehar, Ganesh R. Naik. 69-72 [doi]
- An efficient method for integer factorizationHaibo Yu, Guoqiang Bai. 73-76 [doi]
- RO PUF design in FPGAs with new comparison strategiesWeiqiang Liu, Yifei Yu, Chenghua Wang, Yijun Cui, Máire O'Neill. 77-80 [doi]
- The energy cost of network security: A hardware vs. software comparisonAndre Luiz Pereira de Franca, Ricardo P. Jasinski, Paulo Cemin, Volnei A. Pedroni, Altair Olivo Santin. 81-84 [doi]
- Pre-processing power traces to defeat random clocking countermeasuresPhilip Hodgers, Neil Hanley, Máire O'Neill. 85-88 [doi]
- Human body channel energy harvesting scheme with -22.5 dBm sensitivity 25.87% efficiency threshold-compensated rectifierJiayi Wang, Yongan Zheng, Shi Wang, Maoqiang Liu, Huailin Liao. 89-92 [doi]
- UHF energy harvesting system using reconfigurable rectifier for wireless sensor networkXing Li, Chi-Ying Tsui, Wing-Hung Ki. 93-96 [doi]
- A combined transmitting coil design for high efficiency WPT of endoscopic capsuleYang Yang, Xiang Xie, Guolin Li, Yadong Huang, Zhihua Wang. 97-100 [doi]
- A 925 MHz 1.4μW wireless energy-harvesting circuit with error-correction ASK demodulation for RFID healthcare systemShuenn-Yuh Lee, Tzung-Min Tsai, Wei-Chih Lai, Soon-Jyh Chang, Stony Tai. 101-104 [doi]
- Simulation-based comparison of CNT-FETs and G-FETs from a circuit designer's perspectiveManuel Porcel de Soto, Jose M. de la Rosa. 105-108 [doi]
- Designing silicon carbide NMOS integrated circuits for wide temperature operationCheng-Po Chen, Reza Ghandi. 109-112 [doi]
- An embedded probabilistic extraction unit for on-chip jitter measurementsSteven Bielby, Gordon W. Roberts. 113-116 [doi]
- Physical vs. surrogate models of passive RF devicesFábio Passos, M. Kotti, Reinier Gonzalez-Echevarria, M. Helena Fino, Mourad Fakhfakh, Elisenda Roca, R. Castro-López, Francisco V. Fernández. 117-120 [doi]
- Despeckling of synthetic aperture radar images in the contourlet domain using the alpha-stable distributionHamidreza Sadreazami, M. Omair Ahmad, M. N. Shanmukha Swamy. 121-124 [doi]
- Screen image quality assessment incorporating structural degradation measurementKe Gu, Shiqi Wang, Guangtao Zhai, Siwei Ma, Weisi Lin. 125-128 [doi]
- Compression artifact reduction for low bit-rate images based on non-local similarity and across-resolution coherenceJing Mu, Ruiqin Xiong, Xiaopeng Fan, Siwei Ma. 129-132 [doi]
- Depth map restoration and upsampling for kinect v2 based on IR-depth consistency and joint adaptive kernel regressionC. Wang, Z.-C. Lin, Shing-Chow Chan. 133-136 [doi]
- Bandwidth bounds for matching coupled loadsDing Nie, Bertrand M. Hochwald. 137-140 [doi]
- Enhancing amplifiers/filters bandwidth by transfer function zeroesIgor M. Filanovsky. 141-144 [doi]
- Building hamiltonian networks using the cycles laplacian of the underlying graphCristian E. Onete, Maria Cristina C. Onete. 145-148 [doi]
- Noise analysis for time-domain circuitsMehrdad A. Ghanad, Catherine Dehollain, Michael M. Green. 149-152 [doi]
- A quadrature oscillator for LTE/LTE-A standards with an improved quadrature-mode stabilityAmany El-Gouhary, Nathan M. Neihart. 153-156 [doi]
- Jitter analysis and measurement in subthreshold source-coupled differential ring oscillatorsMahsa Shoaran, Armin Tajalli, Massimo Alioto, Yusuf Leblebici. 157-160 [doi]
- Harmonic ring oscillator time-to-digital converterJuan Pablo Caram, Jeff Galloway, J. Stevenson Kenney. 161-164 [doi]
- A high frequency resolution digitally controlled oscillator with differential tapped inductorFan Yang, Runhua Wang, Xiaozhe Liu, Junhua Liu, Huailin Liao. 165-168 [doi]
- Stochastic noise analysis of neural interface front endAmir Zjajo, Carlo Galuzzi, Rene van Leuken. 169-172 [doi]
- Automatic generation of inexact digital circuits by gate-level pruningJeremy Schlachter, Vincent Camus, Christian C. Enz, Krishna V. Palem. 173-176 [doi]
- Fast buffer delay estimation considering time-dependent dielectric breakdownFelipe S. Marranghello, André Inácio Reis, Renato P. Ribas. 177-180 [doi]
- Improved logic synthesis for memristive stateful logic using multi-memristor implicationFelipe S. Marranghello, Vinicius Callegaro, Mayler G. A. Martins, André Inácio Reis, Renato P. Ribas. 181-184 [doi]
- Impact of active areas on electrical characteristics of TiO2 based solid-state memristorsQingjiang Li, Hui Xu, Ali Khiat, Zhaolin Sun, Themistoklis Prodromakis. 185-188 [doi]
- Limitations and precision requirements for read-out of passive, linear, selectorless RRAM arraysAlexantrou Serb, William Redman-White, Christos Papavassiliou, Radu Berdan, Themistoklis Prodromakis. 189-192 [doi]
- Scaling 2-layer RRAM cross-point array towards 10 nm node: A device-circuit co-designScott Zuloaga, Rui Liu, Pai-Yu Chen, Shimeng Yu. 193-196 [doi]
- A system-of-systems based equipment for thermo-mechanical testing of advanced high power modulesCarlo Famoso, Mario Di Guardo, Luigi Fortuna, Mattia Frasca, Salvatore Graziani, Natale Testa. 197-200 [doi]
- A fully-integrated switched capacitor voltage regulator for near-threshold applicationsMoataz Abdelfattah, Brian Dupaix, Syed R. Naqvi, Waleed Khalil. 201-204 [doi]
- An approach for physical topology exploration in wired bus networksYidi Zeng, Harald Schrom, Rolf Ernst. 205-208 [doi]
- Ripple-based power-line communication in switching DC-DC converters exploiting switching frequency modulationNicola Bertoni, Stefano Bocchi, Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 209-212 [doi]
- Unified digital sliding mode control with inductor current ripple reconstruction for DC-DC convertersAndreas Berger, Matteo Agostinelli, Robert Priewasser, Stefano Marsili, Mario Huemer. 213-216 [doi]
- A switched capacitor deadtime controller for DC-DC buck converterChiang Liang Kok, Xin Li, Liter Siek, Di Zhu, Junjie Kong. 217-220 [doi]
- A first implementation of a semi-analytically designed class-E resonant DC-DC converterNicola Bertoni, Giovanni Frattini, Pierluigi Albertini, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 221-224 [doi]
- A fixed-frequency auto-buck-boost SIMO DC-DC converter with duty-cycle redistribution and duty-predicted current controlYanqi Zheng, Marco Ho, Ka Nang Leung, Jianping Guo. 225-228 [doi]
- Small-signal modeling of the PWM boost DC-DC converter at boundary-conduction mode by circuit averaging techniqueAgasthya Ayachit, Alberto Reatti, Marian K. Kazimierczuk. 229-232 [doi]
- An output feedback-based start-up technique with automatic disabling for battery-less energy harvestersAbhik Das, Yuan Gao, Tony Tae-Hyoung Kim. 233-236 [doi]
- System design of a time-controlled broadband piezoelectric energy harvesting interface circuitYifeng Cai, Yiannos Manoli. 237-240 [doi]
- A study on effect of blocking and bypass diodes on partial shaded PV string with compensating circuit using voltage equalizerKota Kato, Hirotaka Koizumi. 241-244 [doi]
- Impedance modeling of DFIG-wind turbine systemXiaoZhong Liao, Hang Sun, Zhen Li, Siu Chung Wong, Li Tian, Miaoyuan Wang, Xiangdong Liu. 245-248 [doi]
- Design of a reference voltage buffer for a 10-bit 50 MS/s SAR ADC in 65 nm CMOSPrakash Harikumar, J. Jacob Wikner. 249-252 [doi]
- Class AB two stage and folded cascode OpAmps based on a squaring circuitMaría de Rodanas Valero Bernal, Antonio J. López-Martín, Shanta Thoutam, Jaime Ramírez-Angulo, Ramón González Carvajal. 253-256 [doi]
- A 0.35-V bulk-driven self-biased OTA with rail-to-rail input range in 65 nm CMOSOmar Abdelfattah, Gordon W. Roberts, Ishiang Shih, Yi-Chi Shih. 257-260 [doi]
- Design of a robust general-purpose low-offset comparator based on IGZO thin-film transistorsAna Correia, Rodrigo Martins, Elvira Fortunato, Pedro Barquinha, João Goes. 261-264 [doi]
- Optimizing an amplifier by a many-objective algorithm based on R2 indicatorLuis Gerardo de la Fraga, Esteban Tlelo-Cuautle. 265-268 [doi]
- Design of an optimal layout RF passive polyphase filter for large image rejectionFayrouz Haddad, Wenceslas Rahajandraibe, Abdelhalim Slimane. 269-272 [doi]
- Analysis of imperfections in N-phase high-Q band-pass filtersAli Nikoofard, Siavash Kananian, Baktash Behmanesh, Seyed Mojtaba Atarodi, Ali Fotowat Ahmady. 273-276 [doi]
- th order butterworth FGMOS Gm-C filter with enhanced linearityJosé M. Algueta Miguel, Carlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín. 277-280 [doi]
- Analog signal-interference narrow-band bandpass filters with hybrid transmission-line/SAW-resonator transversal filtering sectionsDimitra Psychogiou, Dimitrios Peroulis, Raul Loeches-Sanchez, Roberto Gómez-Garcia. 281-284 [doi]
- A passive CMOS low-pass filter for high speed and high SNDR applicationsPedram Payandehnia, Ali Fazli Yeknami, Xin Meng, Chao Yang, Gabor C. Temes. 285-288 [doi]
- A 1-V 690 μW 8-bit 200 MS/s flash-SAR ADC with pipelined operation of flash and SAR ADCs in 0.13μm CMOSMonireh Eslami, Mohammad Taherzadeh-Sani, Frederic Nabki. 289-292 [doi]
- A 0.5-V 1.28-MS/s 10-bit SAR ADC with switching detect logicYu-Wei Cheng, Kea-Tiong Tang. 293-296 [doi]
- A low power 12-Bit ENOB SAR ADC for silicon drift X and gamma ray detector read-outD. Albano, Marco Grassi, Piero Malcovati. 297-300 [doi]
- An 8-bit column-shared SAR ADC for CMOS image sensor applicationsJin-Yi Lin, Kwuang-Han Chang, Chen-Che Kao, Shih-Chin Lo, Yan-Jiun Chen, Pei-Chen Lee, Chi-Hui Chen, Chin Yin, Chih-Cheng Hsieh. 301-304 [doi]
- Two-stage ΔΣ ADC with noise-coupled VCO-based quantizerMahmoud Sadollahi, Gabor C. Temes. 305-308 [doi]
- nd-Order ΔΣ ADC with a double integrating quantizerSpencer Leuenberger, Un-Ku Moon. 309-312 [doi]
- 300mV 50kHz 75.9dB SNDR CT ΔΣ Modulator with Inverter-based Feedforward OTAsLishan Lv, Qiang Li. 313-316 [doi]
- Continuous time delta-sigma modulator with an embedded passive low pass filterChangsok Han, Nima Maghari. 317-320 [doi]
- Sturdy-MASH delta-sigma modulator with noise-shaped integrating quantizer and dual-DAC DWAChangsok Han, Taewook Kim, Nima Maghari. 321-324 [doi]
- Anti-aliasing filter improvement in continuous-time feedback sigma-delta modulatorsRudolf Ritter, Matthias Lorenz, Maurits Ortmanns. 325-328 [doi]
- Approaches to mitigating the impact of DAC mismatch on the performance of continuous-time delta-sigma modulatorsChongjun Ding, Yiannos Manoli, Matthias Keller. 329-332 [doi]
- A 2-tap 40-Gb/s 4-PAM transmitter with level selection based pre-emphasisYang Wang, Weixin Gai. 333-336 [doi]
- A low-noise switched-capacitor interface for a capacitive micro-accelerometerMeng Zhao, Wengao Lu, Zhongjian Chen, Tingting Zhang, Feng Wu, Yacong Zhang, Dahe Liu. 337-340 [doi]
- A novel 6-Gbps half-rate SST transmitter with impedance calibration and adjustable pre-emphasisJincai Liu, Weixin Gai, Liangxiao Tang. 341-344 [doi]
- A reconfigurable time-domain comparator for multi-sensing applicationsXiaopeng Zhong, Bo Wang, Amine Bermak. 349-352 [doi]
- Dark current optimization of 4-transistor pixel topologies in standard CMOS technologies for time-of-flight sensorsJ. Illade-Quinteiro, Victor M. Brea, P. López, Diego Cabello. 353-356 [doi]
- A single-slope based low-noise ADC with input-signal-dependent multiple sampling scheme for CMOS image sensorsYasuhiro Shinozuka, Kei Shiraishi, Masanori Furuta, Tetsuro Itakura. 357-360 [doi]
- A time-based technique for a resistive detectorNicola Massari, Matteo Perenzoni. 361-364 [doi]
- A 1.2-V 1.35-μW all MOS temperature sensor for wireless sensor networksCristina Azcona, Belén Calvo, Nicolas Medrano, Santiago Celma, Cecilia Gimeno. 365-368 [doi]
- A novel stereovision algorithm for obstacles detection based on U-V-disparity approachImad Benacer, Aicha Hamissi, Abdelhakim Khouas. 369-372 [doi]
- Design of threshold logic gates using emerging devicesSarma B. K. Vrudhula, Niranjan Kulkami, Jinghua Yang. 373-376 [doi]
- 3D vertical RRAM architecture and operation algorithms with effective IR-drop suppressing and anti-disturbanceYinyin Lin, Rui Yuan, Xiaoyong Xue, B. A. Chen. 377-380 [doi]
- Perspectives of racetrack memory based on current-induced domain wall motion: From device to systemYue Zhang, Chao Zhang, Jacques-Olivier Klein, Dafine Ravelosona, Guangyu Sun, Weisheng Zhao. 381-384 [doi]
- Study of sub-5 nm RRAM, tunneling selector and selector less deviceKai-Shin Li, Ming-Taou Lee, Min-Cheng Chen, Cho-Lun Hsu, J. M. Lu, C. H. Lin, C. C. Chen, B. W. Wu, Y. F. Hou, C. Yi. Lin, Y. J. Chen, T. Y. Lai, M. Y. Li, I. Yang, C. S. Wu, Fu-Liang Yang, W. K. Yeh. 385-388 [doi]
- Cellular nonlinear network-based signal prediction in epilepsy: Method comparisonVanessa Senger, Ronald Tetzlaff. 397-400 [doi]
- Overview of CNN research: 25 years history and the current trendsÁkos Zarándy, Csaba Rekeczky, Péter Szolgay, Leon O. Chua. 401-404 [doi]
- CNN in drug design - Recent developmentsJörg D. Wichard, Maciej J. Ogorzalek, Christian Merkwirth. 405-408 [doi]
- TAB barrier: Hybrid barrier synchronization for NoC-based processorsZhenqi Wei, Peilin Liu, Rongdi Sun, Rendong Ying. 409-412 [doi]
- ARCHER: Communication-based predictive architecture selection for application specific multiprocessor Systems-on-ChipJude Angelo Ambrose, Nick Higgins, Mrinal Chakravarthy, Shivam Gargg, Tuo Li 0001, Daniel Murphy, Aleksandar Ignjatovic, Sri Parameswaran. 413-416 [doi]
- Fault tolerant mesh based Network-on-Chip architectureNavonil Chatterjee, Santanu Chattopadhyay. 417-420 [doi]
- Fault recovery protocol for distributed memory MPSoCsFrancisco F. S. Barreto, Alexandre M. Amory, Fernando Gehm Moraes. 421-424 [doi]
- A shared polyhedral cache for 3D wide-I/O multi-core computing platformsMihai Lefter, George Razvan Voicu, Sorin Dan Cotofana. 425-428 [doi]
- A new algorithm for noise PSD matrix estimation in multi-microphone speech enhancement based on recursive smoothingMahdi Parchami, Wei-Ping Zhu, Benoît Champagne. 429-432 [doi]
- Performance bound of multiple hypotheses classification in compressed sensingJiuwen Cao, Zhiping Lin. 433-436 [doi]
- Sparse distributed learning via heterogeneous diffusion adaptive networksBijit Kumar Das, Mrityunjoy Chakraborty, Jerónimo Arenas-García. 437-440 [doi]
- Limit of the accuracy of parameter estimation for two molecules moving in close proximityZhiping Lin, Yau Wong, Raimund J. Ober. 441-444 [doi]
- A new map estimator for wavelet domain image denoising using vector-based hidden Markov modelMarzieh Amini, M. Omair Ahmad, M. N. Shanmukha Swamy. 445-448 [doi]
- A 0.5-30GHz wideband differential CMOS T/R switch with independent bias and leakage cancellation techniquesXinwang Zhang, Yichuang Sun, Zhihua Wang, Baoyong Chi. 449-452 [doi]
- SPI interface, mux-based synchronizer and DSP unit for a MEMS-based accelerometerMika Pulkkinen, Lasse Aaltonen, Kari Halonen. 453-456 [doi]
- Efficient design technique for pulse swallow based fractional-N frequency dividerManas Kumar Hati, Tarun Kanti Bhattacharyya. 457-460 [doi]
- Programmable analog pulse shaping for ultra-wideband applicationsNaga Rajesh, Shanthi Pavan. 461-464 [doi]
- Bluetooth low energy receiver system designAlessandra Pipino, Antonio Liscidini, Karen Wan, Andrea Baschirotto. 465-468 [doi]
- Low power receiver for magnetic digestive motility tracking pillJosé Luis Merino, Onur Kazanc, Nicolas Brunner, Vincent Schlageter, Michel Demierre, Catherine Dehollain. 469-472 [doi]
- Active nuclear magnetic resonance probe: A new multidiciplinary approach toward highly sensitive biomolecoular spectroscopyHossein Pourmodheji, Ebrahim Ghafar-Zadeh, Sebastian Magierowski. 473-476 [doi]
- A CMOS potentiostatic glucose monitoring system for VACNF amperometric biosensorsKhandaker A. Al Mamun, Nicole McFarlane. 477-480 [doi]
- A novel pH-to-time ISFET pixel architecture with offset compensationNicolas Moser, Tor Sverre Lande, Pantelis Georgiou. 481-484 [doi]
- Power efficient instrumentation with 100 fA-sensitivity and 164 dB-dynamic range for wearable chronoamperometric gas sensor arraysHaitao Li, Sam Boling, Andrew J. Mason. 485-488 [doi]
- A low voltage CMOS differential/floating bandgap voltage reference circuitEdward K. F. Lee. 489-492 [doi]
- A 0.45V CMOS relaxation oscillator with ±2.5% frequency stability from -55°C to 125°CAndré Luiz Aita, Jorge V. De la Cruz, Rizwan Bashirullah. 493-496 [doi]
- 0.7 V supply self-biased nanoWatt MOS-only threshold voltage monitorOscar E. Mattia, Hamilton Klimach, Sergio Bampi, Márcio C. Schneider. 497-500 [doi]
- A novel subthreshold voltage reference featuring 17ppm/°C TC within -40°C to 125°C and 75dB PSRRJize Jiang, Wei Shu, Joseph Sylvester Chang, Jingyuan Liu. 501-504 [doi]
- A higher order curvature corrected 2 ppm/°C CMOS voltage reference circuitArjun Ramaswami Palaniappan, Dominic Maurath, Felix Kalathiparambil, Liter Siek. 505-508 [doi]
- Improved block level adaptive quantization for high efficiency video codingMiaohui Wang, King Ngi Ngan, Hongliang Li, Huanqiang Zeng. 509-512 [doi]
- Estimating the HEVC decoding energy using the decoder processing timeChristian Herglotz, Elisabeth Walencik, André Kaup. 513-516 [doi]
- Fast intra mode decision algorithm based on refinement in HEVCLongfei Gao, Shengfu Dong, Wenmin Wang, Ronggang Wang, Wen Gao. 517-520 [doi]
- Symmetric intra block copy in video codingKai Zhang, Jicheng An, Xianguo Zhang, Han Huang, Shawmin Lei. 521-524 [doi]
- An affine motion compensation framework for high efficiency video codingLi Li, Houqiang Li, Zhuoyi Lv, Haitao Yang. 525-528 [doi]
- Calculation of MOSFET distortion using the transconductance-to-current ratio (gm/ID)Paul G. A. Jespers, Boris Murmann. 529-532 [doi]
- Limitations of the classical phase-locked loop analysisNikolay V. Kuznetsov, Olga A. Kuznetsova, Gennady A. Leonov, P. Neittaanmuaki, Marat V. Yuldashev, Renat V. Yuldashev. 533-536 [doi]
- Simulating delta-sigma analog-to-digital converters with the Op-Amp nonlinearity using the Newton's methodChia-Yu Yao, Yung-Hsiang Ho, Wei-Chun Hsia, Jyun-Jie Huang. 537-540 [doi]
- Frequency-domain analysis of a mixer-first receiver using conversion matricesSameed Hameed, Mansour Rachid, Babak Daneshrad, Sudhakar Pamarti. 541-544 [doi]
- Multi-phase bang-bang digital phase lock loop with accelerated frequency acquisitionAmer Samarah, Anthony Chan Carusone. 545-548 [doi]
- A 1.25mW 0.8-28.2GHz charge pump PLL with 0.82ps RMS jitter in all-digital 40nm CMOSSusan Schober, John Choma. 549-552 [doi]
- A 160MHz-to-2GHz low jitter fast lock all-digital DLL with phase tracking techniqueShuo-Hong Hung, Wei-Hao Kao, Kuan-I. Wu, Yi-Wei Huang, Min-Han Hsieh, Charlie Chung-Ping Chen. 553-556 [doi]
- Subharmonically injection-locked PLL with variable pulse-width injectionsShuei Morishita, Shinji Shimizu, Takao Kihara, Tsutomu Yoshimura. 557-560 [doi]
- Optimal quantization noise management in wideband fractional-N PLLsSergio Callegari, Federico Bizzarri, Angelo Brambilla. 561-564 [doi]
- Voting based weighted online sequential extreme learning machine for imbalance multi-class classificationBilal Mirza, Zhiping Lin, Jiuwen Cao, Xiaoping Lai. 565-568 [doi]
- Stability analysis of multiple equilibria for recurrent neural networks with discontinuous Mexican-hat-type activation functionXiaobing Nie, Wei Xing Zheng, Jinhu Lu. 569-572 [doi]
- RF-LNA circuit synthesis using an array of artificial neural networks with constrained inputsEtienne Dumesnil, Frederic Nabki, Mounir Boukadoum. 573-576 [doi]
- Improving storage of patterns in recurrent neural networks: Clone-based model and architectureHugues Wouafo, Cyrille Chavet, Philippe Coussy. 577-580 [doi]
- Hyperbolic tangent passive resistive-type neuronJafar Shamsi, Amirali Amirsoleimani, Sattar Mirzakuchaki, Arash Ahmadi, Shahpour Alirezaee, Majid Ahmadi. 581-584 [doi]
- Racetrack converter: A low power and compact data converter using racetrack spintronic devicesQing Dong, Kaiyuan Yang, Laura Fick, David Fick, David Blaauw, Dennis Sylvester. 585-588 [doi]
- Vortex-based spin transfer oscillator compact model for IC designNicolas Locatelli, Damir Vodenicarevic, Weisheng Zhao, Jacques-Olivier Klein, Julie Grollier, Damien Querlioz. 589-592 [doi]
- A new self-reference sensing scheme for TLC MRAMZheng Li, Bonan Yan, Lun Yang, Weisheng Zhao, Yiran Chen, Hai Li. 593-596 [doi]
- Ultra-low leakage sub-32nm TFET/CMOS hybrid 32kb pseudo DualPort scratchpad with GHz speed for embedded applicationsN. Gupta, Adam Makosiej, Oliver Thomas, Amara Amara, Andrei Vladimirescu, Costin Anghel. 597-600 [doi]
- Impacts of NBTI and PBTI on ultra-thin-body GeOI 6T SRAM cellsVita Pi-Ho Hu, Ming-Long Fan, Pin Su, Ching-Te Chuang. 601-604 [doi]
- A high-throughput HEVC deblocking filter VLSI architecture for 8k×4k applicationWei Cheng, Yibo Fan, YanHeng Lu, Yize Jin, Xiaoyang Zeng. 605-608 [doi]
- An independent bandwidth reduction device for HEVC VLSI video systemJiayi Zhu, Li Guo, Dajiang Zhou, Shinji Kimura, Satoshi Goto. 609-612 [doi]
- Energy-efficient multimedia systems for high efficiency video codingJörg Henkel, Muhammad Usman Karim Khan, Muhammad Shafique. 613-616 [doi]
- A fixed-complexity HEVC inter mode filtering algorithm based on distribution of IME-FME cost ratioJinjia Zhou, Yizhou Zou, Dajiang Zhou, Satoshi Goto. 617-620 [doi]
- Complexity reduction for the 3D-HEVC depth maps codingMario Saldanha, Gustavo Sanchez, Bruno Zatt, Marcelo Schiavon Porto, Luciano Volcan Agostini. 621-624 [doi]
- A scan design method based on two complementary connection styles to minimize test powerAyiao Cui, Tingting Yu, Mengyang Li, Gang Qu. 625-628 [doi]
- An improved scan design for minimization of test power under routing constraintAijiao Cui, Tingting Yu, Gang Qu, Mengyang Li. 629-632 [doi]
- Test method for capacitive MEMS devices utilizing pierce oscillatorA. Dianat, Ali Attaran, Rashid Rashidzadeh. 633-636 [doi]
- A hardware based low temperature solution for VLSI testing using decompressor side maskingArpita Dutta, Subhadip Kundu, Santanu Chattopadhyay, Bijit Kumar Das. 637-640 [doi]
- A new decompressor with ordered parallel scan design for reduction of test data and test timeTingting Yu, Aijiao Cui, Mengyang Li, André Ivanov. 641-644 [doi]
- A low-power multi-tanh OTA with very low harmonic distortionIman Kianpour, Bilal Hussain, Vitor Grade Tavares, Helio S. Mendonca. 645-649 [doi]
- Low power reconfigurable multi-mode LNA utilizing subthreshold bias and low-Q inductorsA. R. Aravinth Kumar, Shiv Govind Singh, Ashudeb Dutta. 650-653 [doi]
- Highly linear wide-band differential LNA using active feedback as post distortionAmir Amirabadi, Abolfazl Zokaei, Mohammad Bagheri, Fatemeh Alirezazadeh. 654-657 [doi]
- CMOS adaptive TIA with embedded single-ended to differential conversion for analog optical linksWaqas Ahmad, Mohammed Abdulaziz, Markus Törmänen, Henrik Sjöland. 658-661 [doi]
- A digital power amplifier with FIR-embedded 1-Bit high-order ΔΣ modulation for WBAN polar transmittersYiyu Shen, Woogeun Rhee, Zhihua Wang. 662-665 [doi]
- A versatile analog front-end for sensors based on piezoresistive silicon nanowire detectionO. Leman, A. Nikas, H. Zhou, J. L. Lagos, B. J. Vinchhi, J. Hauer, G. Jourdan, P. Rey. 666-669 [doi]
- A 15-bit two-step pixel-level ADC for 17μm-pitch low-power and high-dynamic-range IRFPALuya Zhang, Binbin Lyu, Wengao Lu, Dahe Liu, Meng Zhao, Yacong Zhang, Zhongjian Chen. 670-671 [doi]
- A low-noise interface for MEMS vibration gyroscope based on a novel power-efficient C/V conversion structureHai Chu, Wengao Lu, M. X. Liu, Meng Zhao, X.-L. Li, Dahe Liu, L. Y. Zhang, Zhongjian Chen, Yacong Zhang. 674-677 [doi]
- Q-enhancement of a low-power gm-C bandpass filter for closed-loop sensor readout applicationsDaniel DeDorigo, Stefan Rombach, Michael Maurer, Maximilian Marx, Sebastian Nessler, Yiannos Manoli. 678-681 [doi]
- Pixellated readout IC: Analysis for single photon infrared detector for fast time of arrival applicationsFarah Fahim, Vala Fathipouri, Grzegorz Deptuch, Hooman Mohseni. 682-685 [doi]
- Analytical design for resonant inductive coupling wireless power transfer system with class-E inverter and class-DE rectifierTomoharu Nagashima, Xiuqin Wei, Elisenda Bou, Eduard Alarcón, Hiroo Sekiya. 686-689 [doi]
- Relay effects in multiple-node Resonant Inductive Coupling Wireless Power Transfer systemsElisenda Bou, Raymond Sedwick, Eduard Alarcón. 690-693 [doi]
- Scalability analysis of SIMO non-radiative resonant wireless power transfer systems based on circuit modelsElisenda Bou, Raymond Sedwick, Eduard Alarcón. 694-697 [doi]
- Co-optimization of efficiency and load modulation data rate in a wireless power transfer systemXingyi Shi, Aaron N. Parks, Benjamin H. Waters, Joshua R. Smith. 698-701 [doi]
- Multiple antenna rectifiers for radio frequency energy scavenging in wireless sensorsJean-Paul M. G. Linnartz, Yan Wu 0001, J. G. A. Maree, Marion Matters-Kammerer. 702-705 [doi]
- Machine vision using combined frame-based and event-based vision sensorHua-Sheng, Konstantin Nikolic. 706-709 [doi]
- Triplet spike time dependent plasticity in a floating-gate synapseRoshan Gopalakrishnan, Arindam Basu. 710-713 [doi]
- A current-mode spiking neural classifier with lumped dendritic nonlinearityAmitava Banerjee, Sougata Kar, Subhrajit Roy, Aritra Bhaduri, Arindam Basu. 714-717 [doi]
- Design of an RGBW color VGA rolling and global shutter dynamic and active-pixel vision sensorCheng-Han Li, Christian Brandli, Raphael Berner, Hongjie Liu, Minhao Yang, Shih-Chii Liu, Tobi Delbrück. 718-721 [doi]
- Design of a spatiotemporal correlation filter for event-based sensorsHongjie Liu, Christian Brandli, Cheng-Han Li, Shih-Chii Liu, Tobi Delbrück. 722-725 [doi]
- A new audiovisual emotion recognition system using entropy-estimation-based multimodal information fusionZhibing Xie, Yun Tie, Ling Guan. 726-729 [doi]
- Hybrid feature-based wallpaper visual searchKim-Hui Yap, Zhenwei Miao. 730-733 [doi]
- Feature weighting in visual product recognitionWen Zhang, Kim-Hui Yap, Dajiang Zhang, Zhenwei Miao. 734-737 [doi]
- Accelerating AdaBoost algorithm using GPU for multi-object recognitionPin Yi Tsai, Yarsun Hsu, Ching-Te Chiu, Tsai-Te Chu. 738-741 [doi]
- A 124.9fps memory-efficient hand segmentation processor for hand gesture in mobile devicesSungpill Choi, Seongwook Park, Gyeonghoon Kim, Hoi-Jun Yoo. 742-745 [doi]
- A 65-nm low power ECG feature extraction systemNourhan Bayasi, Temesghen Tekeste, Hani H. Saleh, Baker Mohammad, Mohammed Ismail. 746-749 [doi]
- Design of low-power and low-phase noise VCO in standard 0.13μm CMOSSherif Ahmed Saleh Mohamed, Yiannos Manoli. 750-753 [doi]
- A 1 V, compact, current-mode neural spike detector with detection probability estimator in 65 nm CMOSEnyi Yao, Arindam Basu. 754-757 [doi]
- An implantable high-voltage cortical stimulator for post-stroke rehabilitation enhancement with high-current driving capacityMustafa Kilic, Alexandre Schmid. 758-761 [doi]
- A high-voltage, energy-efficient, 4-electrode output stage for implantable neural stimulatorJinghui Liu, Songping Mai, Chun Zhang, Zhihua Wang. 762-765 [doi]
- Real-time arm movement recognition using FPGADwaipayan Biswas, Gerry Juans Ajiwibawa, Koushik Maharatna, Andy Cranny, Josy Achner, Jasmin Klemke, Michael Jobges. 766-769 [doi]
- Design of a low power impulse-radio ultra-wide band wireless electrogoniometerHongjie Zhu, Tian Qiu, Milin Zhang, Andrew G. Richardson, Timothy H. Lucas, Nader Engheta, Jan Van der Spiegel. 770-773 [doi]
- A novel FM/FSK based receiver front-end for MedRadio spectrum in 401-406 MHz bandAbhishek Srivastava, Baibhab Chatterjee, Vineeth Anavangot, Maryam Shojaei Baghini. 774-777 [doi]
- Adaptive illumination in wireless capsule endoscopy systemRavi Shrestha, Xuechao Zhang, Ziad Gias, Khan A. Wahid. 778-781 [doi]
- 2 sized deep-tissue implantBiyi Fang, Tao Feng, Mi Zhang, Shantanu Chakrabartty. 782-785 [doi]
- Design of a computer-aided visual system for Total Hip Replacement surgeryShaojie Su, Jiyang Gao, Hong Chen, Zhihua Wang. 786-789 [doi]
- Distinguishing medical drugs from a large set of side effects using a distributed genetic algorithm on a PC clusterFazal Noor, Majed Alhaisoni, Mashaan A. Alshammari, Ravi P. Ramachandran. 790-793 [doi]
- Design of a hybrid neural spike detection algorithm for implantable integrated brain circuitsSeyed Mohammad Ali Zeinolabedin, Anh-Tuan Do, Kiat Seng Yeo, Tony Tae-Hyoung Kim. 794-797 [doi]
- Implementation of Gabor feature extraction algorithm for electrocardiogram on FPGAGwo Giun (Chris) Lee, Zuo-Jheng Huang, Chih-Yuan Chen, Chun-Fu Chen. 798-801 [doi]
- Comparison of low-power biopotential processors for on-the-fly spike detectionG. Gagnon-Turcotte, C.-O. Dufresne Camaro, B. Gosselin. 802-805 [doi]
- Physiological signal denoising with variational mode decomposition and weighted reconstruction after DWT thresholdingSalim Lahmiri, Mounir Boukadoum. 806-809 [doi]
- Digital spur mitigation in high-speed block-parallel digital filter realizationsParaskevas E. Argyropoulos, Hanoch Lev-Ari. 810-813 [doi]
- Temporal pulse-clocked multi-bit flip-flop mitigating SET and SEUSushil Kumar, Srivatsan Chellappa, Lawrence T. Clark. 814-817 [doi]
- Investigation of single-event upsets in dynamic logic based flip-flopsPatrick Nsengiyumva, Qiaoyan Yu. 818-821 [doi]
- Fast and robust differential flipflops and their extension to multi-input threshold gatesJinghua Yang, Niranjan Kulkarni, Joseph Davis, Sarma B. K. Vrudhula. 822-825 [doi]
- Partial sums computation in polar codes decodingGuillaume Berhault, Camille Leroux, Christophe Jégo, Dominique Dallet. 826-829 [doi]
- RNS reverse converters based on the new Chinese Remainder Theorem IHéctor Pettenghi, Leonel Sousa. 830-833 [doi]
- 2 low-latency multi-mode crypto-coprocessor for PKC-based IoT security protocolsCheng-Rung Tsai, Ming-Chun Hsiao, Wen-Chung Shen, An-Yeu Andy Wu, Chen-Mou Cheng. 834-837 [doi]
- A fast and energy efficient binary-to-pseudo CSD converterYajuan He, Zijie Zhang, Bin Ma, Jinpeng Li, Shaowei Zhen, Ping Luo, Qiang Li. 838-841 [doi]
- A real-time architecture for reference frame compression for high definition video codersDieison Silveira, Guilherme Povala, Lívia Amaral, Bruno Zatt, Luciano Volcan Agostini, Marcelo Schiavon Porto. 842-845 [doi]
- kDaisaburo Yoshioka, Yuta Dainobu. 846-849 [doi]
- Delay calibration circuit for delay linesBupesh Pandita. 850-853 [doi]
- Network science meets circuit theory: Kirchhoff index of a graph and the power of node-to-datum resistance matrixMamta Yadav, Krishnaiyan Thulasiraman. 854-857 [doi]
- A memristive astable multivibrator based on 555 timerDongsheng Yu, C. Y. Zheng, Herbert H. C. Iu, Tyrone Fernando. 858-861 [doi]
- Digital predistorter identification based on constrained multi-objective optimization of WLAN standard performance metricsKarl Freiberger, Martin Wolkerstorfer, Harald Enzinger, Christian Vogel. 862-865 [doi]
- Exact inversion with a boost DC/AC power converterJosep M. Olm, Domingo Biel. 866-869 [doi]
- Discrete time-varying delayed systems for secure communicationGilles Millerioux, Jérémy Parriaux. 870-873 [doi]
- Multimode crystal oscillator for power management unit with digitally controlled AGC loop in 0.18μm CMOS technologyXuezhen Wang, Russell E. Radke, Jay E. Ackerman, Michael Baker. 874-877 [doi]
- Simulation and validation of arbitrary ordered VSCP-PLLs using event-driven macromodelingEhsan Ali, Wenceslas Rahajandraibe, Fayrouz Haddad, Ndiogou Tall, Christian Hangmann, Christian Hedayat. 878-881 [doi]
- A 167 μW 915 MHz gain-boosted LC VCOM. Shahriar Jahan, Tan Yang, Junjie Lu, Jeremy Holleman. 882-885 [doi]
- A low phase-noise class-C VCO using novel 8-shaped transformerPing-Yi Wang, Te-Lin Wu, Ming-Yu Chen, Yun-Chun Shen, Yin-Cheng Chang, Da-Chiang Chang, Shawn S. H. Hsu. 886-889 [doi]
- A low-power high-speed 32/33 prescaler based on novel divide-by-4/5 unit with improved true single-phase clock logicSong Jia, Shilin Yan, Yuan Wang, Ganggang Zhang. 890-893 [doi]
- A multi-bit FIR filtering technique for two-point modulators with dedicated digital high-pass modulation pathXiaoyong Li, Woogeun Rhee, Wen Jia, Zhihua Wang. 894-897 [doi]
- Community structure promotes the emergence of persistence behavior in social networksZhihai Rong, Zhi-Xi Wu, Chi Kong Tse. 898-901 [doi]
- Asynchronous consensus of second-order multi-agent systems with aperiodic sampled-dataJingyuan Zhan, Xiang Li. 902-905 [doi]
- Inferring spatial transmission of epidemics in networked metapopulationsJianbo Wang, Xiang Li, Lin Wang. 906-909 [doi]
- Synchronization and clustering in coupled parametrically excited oscillators with small mismatchKosuke Oi, Yoko Uwate, Yoshifumi Nishio. 910-913 [doi]
- Consensus-based distributed droop control of synchronverters for isolated micro-gridsLin-Yu Lu, Chia-Chi Chu. 914-917 [doi]
- On the number of system separations in power systemHsiao-Dong Chiang, Tao Wang. 918-921 [doi]
- On the continuation-path uniqueness of homotopy enhanced power flow method for general distribution networks with distributed generatorsHsiao-Dong Chiang, Tao Wang. 922-925 [doi]
- Generalized energy functions for a class of lossy networking preserving power system modelsDaniel S. Siqueira, Luís F. C. Alberto, Newton G. Bretas. 926-929 [doi]
- Observability of network-delayed multi-converter power systemsChika O. Nwankpa, Juan C. Jiménez, Sachi Jayasuriya. 930-933 [doi]
- Ultra-compact and robust FPGA-based PUF identification generatorChongyan Gu, Máire O'Neill. 934-937 [doi]
- An FPGA implementation of 3D numerical simulations on a 2D SIMD array processorYutaro Ishigaki, Yoichi Tomioka, Tsugumichi Shibata, Hitoshi Kitazawa. 938-941 [doi]
- A feasibility study of quaternary FPGA designs by implementing Neuron-MOS mechanismRenyuan Zhang, Mineo Kaneko. 942-945 [doi]
- Area efficient configurable physical unclonable functions for FPGAs identificationBasel Halak, Yizhong Hu, Mohd Syafiq Mispan. 946-949 [doi]
- A pipeline architecture for traffic sign classification on an FPGAYuteng Zhou, Zhilu Chen, Xinming Huang. 950-953 [doi]
- Novel real-time system design for floating-point sub-Nyquist multi-coset signal blind reconstructionHongxu Yin, Bah-Hwee Gwee, Zhiping Lin, Achanna Anil Kumar, Sirajudeen Gulam Razul, Chong Meng Samson See. 954-957 [doi]
- New algorithm for design of low complexity twiddle factor multipliers in radix-2 FFTJiajia Chen, Jiatao Ding. 958-961 [doi]
- Area-time efficient realization of multiple constant multiplicationXin Lou, Ya Jun Yu. 962-965 [doi]
- Fine-grained pipelining for multiple constant multiplicationsXin Lou, Pramod Kumar Meher, Ya Jun Yu. 966-969 [doi]
- Accelerating compressive sensing reconstruction OMP algorithm with CPU, GPU, FPGA and domain specific many-coreAmey M. Kulkarni, Tinoosh Mohsenin. 970-973 [doi]
- A tunable multi-band/multi-standard receiver front-end supporting LTEHoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea I. Ismail. 974-977 [doi]
- Fast-convolution implementation of filter bank multicarrier waveform processingKai Shao, Juuso Alhava, Juha Yli-Kaakinen, Markku Renfors. 978-981 [doi]
- An overview of IEEE standardization efforts for cognitive radio networksAhmed Khattab, Magdy A. Bayoumi. 982-985 [doi]
- Real-time blind spectrum sensing using USRPSaket Srivastava, Mohammad Hashmi, Supratim Das, Dibakar Barua. 986-989 [doi]
- A wideband down conversion mixer with dual cross-coupled loops for software defined radioBaohong Liu, Feiyan Fan, Hai Zhang, Cuiping Zeng. 990-993 [doi]
- Low-complexity compressed sensing with variable orthogonal multi-matching pursuit and partially known support for ECG signalsYih-Chun Cheng, Pei-Yun Tsai. 994-997 [doi]
- Adaptive ECG interval extractionTemesghen Tekeste, Nourhan Bayasi, Hani H. Saleh, Ahsan Khandoker, Baker Mohammad, Mahmoud Al-Qutayri, Mohammed Ismail. 998-1001 [doi]
- Theoretical analysis of sequential adaptive processing for fetal electrocardiogramsYuqing Dong, W. K. Jenkins. 1002-1005 [doi]
- Cuff-less high-accuracy calibration-free blood pressure estimation using pulse transit timeMohamad Kachuee, Mohammad Mahdi Kiani, Hoda Mohammadzade, Mahdi Shabany. 1006-1009 [doi]
- An unsupervised dictionary learning algorithm for neural recordingsTao Xiong, Jie Zhang, Yuanming Suo, Dung N. Tran, Ralph Etienne-Cummings, Sang Peter Chin, Trac D. Tran. 1010-1013 [doi]
- Design considerations for pipelined continuous-time incremental Sigma-Delta ADCsSha Tao, Jiazuo Chi, Ana Rusu. 1014-1017 [doi]
- A 16-bit 1KHz bandwidth micro-power multi-step incremental ADC for multi-channel sensor interfaceTao He, Yi Zhang, Xin Meng, Gabor C. Temes, Chia-Hung Chen. 1018-1021 [doi]
- A novel 12-bit current-steering DAC with two reference currentsFang-Ting Chou, Zong-Yi Chen, Hsing-Chien Chu, Chung-Chih Hung. 1022-1025 [doi]
- A 14-bit 1.0-GS/s dynamic element matching DAC with >80 dB SFDR up to the NyquistJianan Liu, Xueqing Li, Qi Wei, Huazhong Yang. 1026-1029 [doi]
- A novel 20-bit R-2R DAC structure based on ordered element matchingYou Li, Degang Chen. 1030-1033 [doi]
- A novel visual object tracking algorithm using multiple spatial context models and Bayesian Kalman filterXi-Guang Wei, Shuai Zhang, Shing-Chow Chan. 1034-1037 [doi]
- Multi-view articulated human body tracking with textured deformable mesh modelZhong Liu, Shing-Chow Chan, Chong Wang, Shuai Zhang. 1038-1041 [doi]
- Image splicing localization based on blur type inconsistencyKhosro Bahrami, Alex C. Kot. 1042-1045 [doi]
- Towards accurate visual information estimation with Entropy of PrimitiveXiang Zhang, Shiqi Wang, Siwei Ma, Ruiqin Xiong, Wen Gao. 1046-1049 [doi]
- Optimum multiplicative watermark detector in contourlet domain using the normal inverse Gaussian distributionHamidreza Sadreazami, M. Omair Ahmad, M. N. Shanmukha Swamy. 1050-1053 [doi]
- A one-shot digital correlated double sampling with a differential difference amplifier for a high speed CMOS image sensorSuho Son, Shiwon Jeon, Seol Namgung, Jieun Yoo, Minkyu Song. 1054-1057 [doi]
- Configurable low noise readout front-end for gaseous detectors in 130nm CMOS technologyHugo Daniel Hernández, Wilhelmus A. M. Van Noije, Marcelo Munhoz. 1058-1061 [doi]
- A readout circuit with novel zero-g offset calibration for tri-axes capacitive MEMS accelerometerJungryoul Choi, Jungwoo Lee, Sangyun Han, Sungwook Kim, Soonwon Hong, Joongho Choi. 1062-1065 [doi]
- Direct temperature to digital converters with low supply sensitivity for power/thermal managementYen-Ting Wang, Chen Zhao, Degang Chen, Randall L. Geiger. 1066-1069 [doi]
- A programmable temperature trigger circuitQianqian Wang, Randall L. Geiger, Degang Chen. 1070-1073 [doi]
- Analysis of the operation of a regenerative electrostatic energy harvesterAntônio Carlos M. de Queiroz. 1074-1077 [doi]
- On-chip integration of thermoelectric energy harvesting in 3D ICsDawei Li, Seda Ogrenci Memik, Lawrence J. Henschen. 1078-1081 [doi]
- Pespectives of TFET devices in ultra-low power charge pumps for thermo-electric energy sourcesDavid Cavalheiro, Francesc Moll, Stanimir Valtchev. 1082-1085 [doi]
- Power management system for ultra-low power energy harvesting applicationsJarno Salomaa, Mika Pulkkinen, Tuomas Haapala, Marko Nurmi, Kari Halonen. 1086-1089 [doi]
- Integrated synchronous electric charge extraction system for piezoelectric energy harvestersAdrian Enriquez Aguayo, Oliver Paul, Tzeno Galchev. 1090-1093 [doi]
- A 3T or 4T pixel compatible DR extension technique suitable for 3D-IC imagers: A 800×512 and 5μm pixel pitch 2D demonstratorArnaud Peizerat, Fadoua Guezzi Guezzi, Michele Benetti, Antoine Dupret, R. Jalby, Leonardo Bruno de Sá, W. Guicquero, Yves Blanchard. 1094-1097 [doi]
- An 8-stage time delay integration CMOS image sensor with on-chip polarization pixelsHang Yu, Vigil Varghese, Xinyuan Qian, Menghan Guo, Shoushun Chen, Kay-Soon Low. 1098-1101 [doi]
- On the calibration of a SPAD-based 3D imager with in-pixel TDC using a time-gated techniqueI. Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. 1102-1105 [doi]
- A 1300 × 800, 700 mW, 30 fps spectral polarization imagerMissael Garcia, Shengkui Gao, Christopher Edmiston, Timothy York, Viktor Gruev. 1106-1109 [doi]
- A scalable 20 ×20 fully asynchronous SPAD-based imaging sensor with AER readoutAndrew Berkovich, Timir Datta-Chaudhuri, Pamela Abshire. 1110-1113 [doi]
- Encoding time control system for HEVC based on Rate-Distortion-Complexity analysisGuilherme Corrêa, Pedro A. Amado Assunção, Luís Alberto da Silva Cruz, Luciano Volcan Agostini. 1114-1117 [doi]
- Rate control for screen content coding in HEVCYaoyao Guo, Bin Li, Songlin Sun, Jizheng Xu. 1118-1121 [doi]
- Multiple layer parallel motion estimation on GPU for High Efficiency Video Coding (HEVC)Falei Luo, Siwei Ma, Juncheng Ma, Honggang Qi, Li Su, Wen Gao. 1122-1125 [doi]
- Advanced motion information prediction and inheritance in 3D-HEVCJian-Liang Lin, Yi-Wen Chen, Jicheng An, Kai Zhang, Yu-Wen Huang, Shawmin Lei. 1126-1129 [doi]
- Single depth intra coding mode in 3D-HEVCYi-Wen Chen, Jian-Liang Lin, Yu-Wen Huang, Shawmin Lei. 1130-1133 [doi]
- Memristor-based cellular nanoscale networks: Theory, circuits, and applicationsSon Ngoc Truong, SangHak Shin, JeaSang Song, Hyun-Sun Mo, Fernando Corinto, Kyeong-Sik Min. 1134-1137 [doi]
- Stability analysis supports memristor circuit designAlon Ascoli, Ronald Tetzlaff, Stefan Slesazeck, Hannes Mahne, Thomas Mikolajick. 1138-1141 [doi]
- Linear programming of voltage-controlled memristors with an anti-serial memristor circuitHyuncheol Choi, Ram Kaji Budhathoki, Sedong Park, Changju Yang, Hyongsuk Kim. 1142-1145 [doi]
- Noise properties of ideal memristorsPanayiotis S. Georgiou, Itir Koymen, Emmanuel M. Drakakis. 1146-1149 [doi]
- Memristor-based synapses and neurons for neuromorphic computingLe Zheng, Sangho Shin, Sung-Mo Steve Kang. 1150-1153 [doi]
- Design of a frequency signal conditioning unit applied to rotating systems in high temperature aero engine controlLucian-Vasile Stoica, Valentyn Solomko, Thorsten Baumheinrich, R. Del Regno, R. Beigh, I. White, G. Rickard, P. Williams. 1154-1157 [doi]
- Electronics design, assembly and reliability for high temperature applicationsS. Riches, C. Johnston. 1158-1161 [doi]
- High temperature SOI CMOS technology and circuit realization for applications up to 300°CHolger Kappert, Norbert Kordas, Stefan Dreiner, Uwe Paschen, Rainer Kokozinski. 1162-1165 [doi]
- High temperature electronics packaging: An overview of substrates for high temperatureDavid Shaddock, Liang Yin. 1166-1169 [doi]
- Electronic packaging of SiC MOSFET-based devices for reliable high temperature operationLiang Yin, Cheng-Po Chen, Christopher Kapusta, Reza Ghandi. 1170-1173 [doi]
- RadixBoost: A hardware acceleration structure for scalable radix sort on graphic processorsXingyu Liu, Shikai Li, Kuan Fang, Yufei Ni, Zonghui Li, Yangdong Deng. 1174-1177 [doi]
- A programmable multi-GNSS baseband receiverVinh T. Tran, Nagaraj Channarayapatna Shivaramaiah, Oliver Diessel, Andrew G. Dempster. 1178-1181 [doi]
- A flexible and energy-efficient reconfigurable architecture for symmetric cipher processingBo Wang, Leibo Liu. 1182-1185 [doi]
- Critical-path optimization for efficient hardware realization of lifting and flipping DWTsBasant K. Mohanty, Pramod Kumar Meher, Thambipillai Srikanthan. 1186-1189 [doi]
- Improving Fmax of FPGA circuits employing DPR to recover from configuration memory upsetsEdiz Cetin, Oliver Diessel, Lingkan Gong. 1190-1193 [doi]
- Structural local DCT sparse appearance model for visual trackingB. K. Shreyamsha Kumar, M. N. Shanmukha Swamy, M. Omair Ahmad. 1194-1197 [doi]
- Trajectory kinematics descriptor for trajectory clustering in surveillance videosWei Cheng Wang, Pau-Choo Chung, Hsin-Wei Cheng, Chun-Rong Huang. 1198-1201 [doi]
- Clustering of matched features and gradient matching for mixed-resolution video super-resolutionRenan U. Ferreira, Edson M. Hung, Ricardo L. de Queiroz. 1202-1205 [doi]
- A fast variable block size motion estimation algorithm with refined search range for a two-layer data reuse schemeLuheng Jia, Chi-Ying Tsui, Oscar C. Au, Amin Zheng. 1206-1209 [doi]
- Foreground-based depth map generation for 2D-to-3D conversionHo Sub Lee, Sung In Cho, Gyu Jin Bae, Young-Hwan Kim, Hi-Seok Kim. 1210-1213 [doi]
- A 4.5 μW 2.4 GHz wake-up receiver based on complementary current-reuse RF detectorShih-En Chen, Chin-Lung Yang, Kuang-Wei Cheng. 1214-1217 [doi]
- A 0.5-V 1.56-mW 5.5-GHz RF transceiver IC module with J-shaped folded monopole antennaYosuke Ishikawa, Sang-yeop Lee, Shin Yonezawa, Sho Ikeda, Yiming Fang, Taisuke Hamada, Hiroyuki Ito, Noboru Ishihara, Kazuya Masu. 1218-1221 [doi]
- An energy-efficient IEEE 802.15.4 tunable digital baseband targeting self-adaptive WPANsVincent Lenoir, Didier Lattard, Ahmed Amine Jerraya. 1222-1225 [doi]
- An ultra-low power low-IF GFSK demodulator for Bluetooth-LE applicationsM. Silva Pereira, J. Caldinhas Vaz, Carlos Azeredo Leme, Jose T. de Sousa, J. Costa Freire. 1226-1229 [doi]
- A 0.54-mW duty controlled RSSI with current reusing technique for human body communicationJaeeun Jang, Yongsu Lee, Hyunwoo Cho, Hoi-Jun Yoo. 1230-1233 [doi]
- An 8-channel power-efficient time-constant-enhanced analog front-end amplifier for neural signal acquisitionJung-Chen Chung, Wei-Ming Chen, Chung-Yu Wu. 1234-1237 [doi]
- A 45V 10-b electrode monitoring analog-to-digital converterEdward K. F. Lee. 1238-1241 [doi]
- A sub GHz mostly digital BPSK IR UWB transceiverLei Wang, Chun-Huat Heng, Yong Lian. 1242-1245 [doi]
- Dual-channel pulse-width-modulation ASIC for isolated bio-signal recording front-endSheng-En Lin, Shi-Hao Ou, Robert Rieger. 1246-1249 [doi]
- An integrated CMOS current driver using nonlinear feedback for bioimpedance applicationsNazanin Neshatvar, Peter J. Langlois, Dai Jiang, Andreas Demosthenous. 1250-1253 [doi]
- 17-MS/s 9-bit cyclic ADC with gain-assisted MDAC and attenuation-based calibrationYuki Okada, Takashi Oshima. 1254-1257 [doi]
- Spectral analysis of multibit VCO-ADCs and PFM-ADCs with sinusoidal inputsEric Gutierrez, Luis Hernandez. 1258-1261 [doi]
- A new highly-linear highly-sensitive differential voltage-to-time converter circuit in CMOS 65nm technologyAbdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman. 1262-1265 [doi]
- Stacking integration methodologies in 3D IC for 3D ultrasound image processing application: A stochastic flash ADC design case studyHourieh Attarzadeh, Sung Kyu Lim, Trond Ytterdal. 1266-1269 [doi]
- A flash-TDC hybrid ADC architectureYue Xu, Ayman Shabra. 1270-1273 [doi]
- An inter-image redundancy measure for image set compressionXinfeng Zhang, Yabin Zhang, Weisi Lin, Siwei Ma, Wen Gao. 1274-1277 [doi]
- Rate-distortion and energy performance of HEVC and H.264/AVC encoders: A comparative analysisEduarda Monteiro, Mateus Grellert, Sergio Bampi, Bruno Zatt. 1278-1281 [doi]
- Near-aperiodic dot-diffused block truncation codingYun-Fu Liu, Jing-Ming Guo, Zong-Jhe Wu, Hua Lee. 1282-1285 [doi]
- Efficient coding unit size selection for HEVC downsizing transcodingViet Anh Nguyen, Minh N. Do. 1286-1289 [doi]
- Color image coding based on the colorization algorithm using multiple resolution imagesKazunori Uruma, Katsumi Konishi, Tomohiro Takahashi, Toshihiro Furukawa. 1290-1293 [doi]
- A low-voltage voltage-controlled ring-oscillator employing dynamic-threshold-MOS and body-biasing techniquesSomayeh Abdollahvand, Luís Bica Oliveira, Luís Gomes, João Goes. 1294-1297 [doi]
- CMOS distributed amplifiers for UWB radarKenneth Maland, Kristian Gjertsen Kjelgard, Tor Sverre Lande. 1298-1301 [doi]
- A noise cancelling envelope detector for low power wireless sensor applicationsSamer B. Idres, Mohamed El-Nozahi, Hani F. Ragai. 1302-1305 [doi]
- A fully integrated 26 dBm linearized RF power amplifier in 65nm CMOS technologyWaqas Ahmad, Leijun Xu, Markus Törmänen, Henrik Sjöland. 1306-1309 [doi]
- A fast AGC method for multimode zero-IF/sliding-IF WPAN/BAN receiversJingjing Dong, Hanjun Jiang, Zhaoyang Weng, Jingyi Zheng, Chun Zhang, Zhihua Wang. 1310-1313 [doi]
- A quantized pulse coupled oscillator for slow clocking of peer-to-peer networksEnkhbayasgalan Gantsog, Alyssa B. Apsel, Frank Lane. 1314-1317 [doi]
- Parameter estimation from nonlinear frequency response of MEMS resonatorsA. Brenes, Jérôme Juillard, Laurent Bourgois, F. Vinci dos Santos. 1318-1319 [doi]
- Modelling of the dynamical behaviour of floating electrode MEMSPanagiotis Giounanlis, Elena Blokhina, Orla Feely, Loukas Michalas, Matroni Koutsoureli, George J. Papaioannou. 1322-1325 [doi]
- A low-complexity circuit model of hysteresisMatteo Biggio, Flavio Stellino, Mauro Parodi, Marco Storace. 1326-1329 [doi]
- Multi-layer perceptron with pulse glial chain having oscillatory excitation thresholdChihiro Ikuta, Yoko Uwate, Yoshifumi Nishio. 1330-1333 [doi]
- Local asymmetric propagation stopper circuit for asynchronous binary wave computingAri Paasio. 1334-1337 [doi]
- In-memory adder functionality in 1S1R arraysAnne Siemon, Stephan Menzel, A. Chattopadhyay, Rainer Waser, Eike Linn. 1338-1341 [doi]
- Implementation of nondeterministic finite automata in an autoassociative CAM circuitJussi H. Poikonen, Eero Lehtonen, Mika Laiho, Timo Knuutila. 1342-1345 [doi]
- An event-driven massively parallel fine-grained processor arrayDeclan Walsh, Piotr Dudek. 1346-1349 [doi]
- A 512×512-cell associative CAM/Willshaw memory with vector arithmeticMika Laiho, Jonne K. Poikonen, Eero Lehtonen, Mikko Pänkäälä, Jussi H. Poikonen, Pentti Kanerva. 1350-1353 [doi]
- An analytical model of multi-sine AC-DC voltage multiplierToru Tanzawa. 1354-1357 [doi]
- A comprehensive optimization methodology for designing charge pump voltage multipliersToru Tanzawa. 1358-1361 [doi]
- Variable-output charge-pump for piezoelectric and electrostatic tunable RF filtersMohammad Abu Khater, Dimitrios Peroulis. 1362-1365 [doi]
- Implantable biomedical device supplying by a 28nm CMOS self-calibration DC-DC buck converter with 97% output voltage accuracyTe-Fu Yang, Ru-Yu Huang, Yi-Ping Su, Balakumar, Ke-Horng Chen, Tsung-Yen Tsai, Jian-Ru Lin, Ying-Hsi Lin, Chao-Cheng Lee, Pei-Ling Tseng. 1366-1369 [doi]
- An all-digital power management unit with 90% power efficiency and ns-order voltage transition time for DVS operation in low power sensing SoC applicationsChung-Shiang Wu, Kai-Chun Lin, Yi-Ping Kuo, Po-Hung Chen, Yuan-Hua Chu, Wei Hwang. 1370-1373 [doi]
- On the influence of static power consumption in multicore embedded systemsArthur Francisco Lorenzon, Márcia C. Cera, Antonio Carlos Schneider Beck. 1374-1377 [doi]
- A configurable CMOS memory platform for 3D-integrated memristorsMelika Payvand, Advait Madhavan, Miguel Angel Lastras-Montaño, Amirali Ghofrani, Justin Rofeh, Kwang-Ting Cheng, Dmitri B. Strukov, Luke Theogarajan. 1378-1381 [doi]
- A multi-core architecture of digital back-end for large mutual capacitance touch sensing systemsAkihisa Yamada 0001, Yan Qian, Masayuki Yamaguchi, Hiroshi Honjoh, Takahiro Morishita, Shunsuke Nagasawa, Shinji Shinjo, Masayuki Miyamoto. 1382-1385 [doi]
- A memory-efficient NoC system for OpenCL many-core platformChien-Hsuan Yen, Chung-Ho Chen, Kuan-Chung Chen. 1386-1389 [doi]
- Design and techniques for on-die power integrity noise measurement system with digital outputHyunho Baek, William R. Eisenstadt. 1390-1393 [doi]
- 180.5Mbps-8Gbps DLL-based clock and data recovery circuit with low jitter performanceYuequan Liu, Yuan Wang, Song Jia, Xing Zhang. 1394-1397 [doi]
- Switched capacitor quasi-adiabatic clocksHany Ahmed Fahmy, Ping-Yao Lin, Riadul Islam, Matthew R. Guthaus. 1398-1401 [doi]
- Multi-frequency resonant clocksBenjamin M. LaCara, Ping-Yao Lin, Matthew R. Guthaus. 1402-1405 [doi]
- LC resonant clock resource minimization using compensation capacitancePing-Yao Lin, Hany Ahmed Fahmy, Riadul Islam, Matthew R. Guthaus. 1406-1409 [doi]
- Statistical analysis and design of 6T SRAM cell for physical unclonable function with dual application modesLe Zhang, Chip-Hong Chang, Zhi-Hui Kong, Chao Qun Liu. 1410-1413 [doi]
- Analysis and optimization for dynamic read stability in 28nm SRAM bitcellsAhmed T. Elthakeb, Thomas Haine, Denis Flandre, Yehea Ismail, Hamdy Abd Elhamid, David Bol. 1414-1417 [doi]
- 2T2M memristor-based memory cell for higher stability RRAM modulesNoha Shaarawy, Maged Ghoneima, Ahmed G. Radwan. 1418-1421 [doi]
- Reducing misses to external memory accesses in task-level pipeliningAli Azarian, João M. P. Cardoso. 1422-1425 [doi]
- Refresh-free dynamic standard-cell based memories: Application to a QC-LDPC decoderPascal Andreas Meinerzhagen, Andrea Bonetti, Georgios Karakonstantis, Christoph Roth, Frank Giirkaynak, Andreas Peter Burg. 1426-1429 [doi]
- m)Jeng-Shyang Pan, Pramod Kumar Meher, Chiou-Yng Lee, Hong-Hai Bai. 1430-1433 [doi]
- Finite element emulation-based solver for electromagnetic computationsM. Tarek Ibn Ziad, Mohamed Hossam, Mohamad A. Masoud, Mohamed Nagy, Hesham A. Adel, Yousra Alkabani, M. Watheq El-Kharashi, Khaled Salah, Mohamed Abdel Salam. 1434-1437 [doi]
- m) for low-power on-chip crypto-systemsMustafa Khairallah, Maged Ghoneima. 1438-1441 [doi]
- Enhanced level shifter for multi-voltage operationWeicheng Liu, Emre Salman, Can Sitik, Baris Taskin. 1442-1445 [doi]
- A mixed cells physical design approachDaniel S. Guimaraes, Julia Puget, Ricardo Augusto da Luz Reis. 1446-1449 [doi]
- A 3.46 Gb/s (9141, 8224) LDPC-based ECC scheme and on-line channel estimation for solid-state drive applicationsKin-Chu Ho, Chih-Lung Chen, Yen-Chin Liao, Hsie-Chia Chang, Chen-Yi Lee. 1450-1453 [doi]
- Optimal DWA design in scaled CMOS technologies for mismatch cancellation in multibit ΣΔ ADCsAlberto Celin, Andrea Gerosa. 1454-1457 [doi]
- Exploration of self-healing circuits for timing resilient design using emerging memristor devicesJie Gu, Jieda Li. 1458-1461 [doi]
- Tamper-resistant authentication system with side-channel attack resistant AES and PUF using MDR-ROMMitsuru Shiozaki, Takaya Kubota, Tsunato Nakai, Akihiro Takeuchi, Takashi Nishimura, Takeshi Fujino. 1462-1465 [doi]
- Analysis and characterization of data energy tradeoffs: For VLSI architectural agility in C-RAN platformsPascal Nsame, Guy Bois, Yvon Savaria. 1466-1469 [doi]
- Memory impact on the lifetime of a Wireless Sensor Node using a Semi-Markov modelYasmin Halawani, Baker Mohammad, Mahmoud Al-Qutayri, Hani H. Saleh. 1470-1473 [doi]
- A temperature independent driver for Mach-Zehnder modulatorsShenghao Liu, Ke Li, Peter Wilson. 1474-1477 [doi]
- Switched state-space model for a switched-capacitor power amplifierStefan Trampitsch, Gerhard Knoblinger, Mario Huemer. 1478-1481 [doi]
- Routing design for transmission capacity maximization in complex networksCuili Yang, Zhongyan Fan, Wallace Kit-Sang Tang. 1482-1485 [doi]
- A new baseband post-distortion technique for power amplifiers in OFDM-based cognitive radio systemsMouna Ben Mabrouk, Guillaume Ferré, Eric Grivel, Nathalie Deltimple. 1486-1489 [doi]
- A modeling approach for mixed-mode FMCW synthesizer allowing frequency error analysisYizhe Hu, Wei Li. 1490-1493 [doi]
- Efficient realization of probabilistic gradient descent bit flipping decodersKhoa Le, David Declercq, Fakhreddine Ghaffari, Christian Spagnol, Emmanuel Popovici, Predrag Ivanis, Bane V. Vasic. 1494-1497 [doi]
- VLSI design of large-scale soft-output MIMO detection using conjugate gradientsBei Yin, Michael Wu, Joseph R. Cavallaro, Christoph Studer. 1498-1501 [doi]
- High throughput constant envelope pre-coder for massive MIMO systemsHemanth Prabhu, Fredrik Rusek, Joachim Neves Rodrigues, Ove Edfors. 1502-1505 [doi]
- A simplified frequency synthesizer architecture thanks to interference cancellationBorislav Milevsky, Myriam Ariaudo, Jean-Luc Gautier, Inbar Fijalkow. 1506-1509 [doi]
- UWB waveform generator for digital CMOS radarOystein Bjorndal, Svein-Erik Hamran, Tor Sverre Lande. 1510-1513 [doi]
- Wave computer core using fixed-point arithmeticBaris Karakaya, Ramazan Yeniceri, Mustak E. Yalcin. 1514-1517 [doi]
- Modeling the faulty behaviour of digital designs using a feed forward neural network approachZeynab Mirzadeh, Jean-François Boland, Yvon Savaria. 1518-1521 [doi]
- Memristor-based cellular nonlinear networks with belief propagation inspired algorithmJacopo Secco, Fernando Corinto. 1522-1525 [doi]
- Kernel-based mixture of experts models for linear regressionJoseph Santarcangelo, Xiao-Ping Zhang. 1526-1529 [doi]
- A body-biasing of readout circuit for STT-RAM with improved thermal reliabilityLun Yang, Yuanqing Cheng, Yuhao Wang, Hao Yu, Weisheng Zhao, Aida Todri-Sanial. 1530-1533 [doi]
- A case of precision-tunable STT-RAM memory design for approximate neural networkYing Wang, Lili Song, Yinhe Han, Yuanqing Cheng, Huawei Li, Xiaowei Li. 1534-1537 [doi]
- Energy-efficient neuromorphic computation based on compound spin synapse with stochastic learningDeming Zhang, Lang Zeng, Yuanzhuo Qu, Youguang Zhang, Mengxing Wang, Weisheng Zhao, Tianqi Tang, Yu Wang. 1538-1541 [doi]
- Logic-in-Memory architecture made realD. Pala, Giovanni Causapruno, Marco Vacca, Fabrizio Riente, Giovanna Turvani, Mariagrazia Graziano, Maurizio Zamboni. 1542-1545 [doi]
- Understanding complexity in multiphysics systems-on-a-chip: Modern approaches for designElena Blokhina, Diarmuid O'Connell, Dennis Andrade-Miceli, Sergi Gorreta-Marine, Joan Pons-Nin, Manuel Domínguez Pumar, Orla Feely, Dimitri Galayko. 1546-1549 [doi]
- Fine-grain DVFS and AVFS techniques for complex SoC design: An overview of architectural solutions through technology nodesEdith Beigné, Fabien Clermidy, Didier Lattard, Ivan Miro Panades, Yvain Thonnart, Pascal Vivet. 1550-1553 [doi]
- Substrate noise modeling with dedicated CAD framework for smart power ICsHao Zou, Yasser Moursy, Ramy Iskander, Camillo Stefanucci, Pietro Buccella, Maher Kayal, Jean-Michel Sallese. 1554-1557 [doi]
- Practical considerations in VLSI IC design flow with respect to tool limitationsRobert Sobot. 1558-1561 [doi]
- An integrated method for implementing online fault detection in NoC-based MPSoCsVinicius Fochi, Eduardo Wächter, Augusto Erichsen, Alexandre M. Amory, Fernando Gehm Moraes. 1562-1565 [doi]
- A hierarchical IP protection approach for hard IP coresQiang Liu, Haie Li. 1566-1569 [doi]
- A context saving fault tolerant approach for a shared memory many-core architectureEduardo Wächter, Nicolas Ventroux, Fernando Gehm Moraes. 1570-1573 [doi]
- Test set customization for improved fault diagnosis without sacrificing coverageSrinivasa Shashank Nuthakki, Santanu Chattopadhyay, Mrityunjoy Chakraborty. 1574-1577 [doi]
- On the reuse of existing error tolerance circuitry for low power scan testingAnthi Anastasiou, Yiorgos Tsiatouhas, Angela Arapoyanni. 1578-1581 [doi]
- Image deblocking via group sparsity optimizationZhenbo Lu, Houqiang Li, Weiping Li. 1582-1585 [doi]
- Spatial Affine transformations of images by using fractional shift fourier transformSoo-Chang Pei, Yu-Zhe Hsiao. 1586-1589 [doi]
- Heavy haze removal in a learning frameworkJie Chen, Lap-Pui Chau. 1590-1593 [doi]
- No-reference image quality assessment using shearlet transform and stacked autoencodersYuming Li, Lai-Man Po, Xuyuan Xu, Litong Feng, Fang Yuan, Chun-Ho Cheung, Kwok-Wai Cheung. 1594-1597 [doi]
- Delay window blind oversampling clock and data recovery algorithm with wide tracking rangeTravis Bartley, Shuji Tanaka, Yutaka Nonomura, Takahiro Nakayama, Masanori Muroyama. 1598-1601 [doi]
- On-chip jitter tolerance measurement technique for CDR circuitsKyung-Sub Son, Kyongsu Lee, Jin-Ku Kang. 1602-1605 [doi]
- Design of a sample-and-hold analog front end for a 56Gb/s PAM-4 receiver using 65nm CMOSKhosrov Dabbagh-Sadeghipour, Paul D. Townsend, Peter Ossieur. 1606-1609 [doi]
- A 24-mW 28-Gb/s wireline receiver with low-frequency equalizing CTLE and 2-tap speculative DFEMinseo Kim, Joonsung Bae, Unsoo Ha, Hoi-Jun Yoo. 1610-1613 [doi]
- A low-power pulse position modulation transceiverWoo-Rham Bae, Chang-Soo Yoon, Deog Kyoon Jeong. 1614-1617 [doi]
- A 23μW digitally controlled pMUT interface circuit for Doppler ultrasound ImagingJudyta Tillak, Jerald Yoo. 1618-1621 [doi]
- A compact NIR fluorescence imaging system with goggle display for intraoperative guidanceShengkui Gao, Suman Mondal, Nan Zhu, Rongguang Liang, Samuel Achilefu, Viktor Gruev. 1622-1625 [doi]
- A fluorescence based endoscopic microcancer detection capsulePanayiota Demosthenous, Julius Georgiou. 1626-1629 [doi]
- A CMOS analog SiPM front-end for positron emission tomography applicationHesong Xu, Matteo Perenzoni, Nicola Massari, David Stoppa. 1630-1633 [doi]
- Frame adaptive ROI for photoplethysmography signal extraction from fingertip video captured by smartphoneLai-Man Po, Xuyuan Xu, Litong Feng, Yuming Li, Kwok-Wai Cheung, Chun-Ho Cheung. 1634-1637 [doi]
- A ΔΣ ADC using an LSB-first SAR quantizerAllen Waters, Jerry Leung, Manideep Gande, Un-Ku Moon. 1638-1641 [doi]
- Design of a variable-delay window ADC for switched-mode DC-DC convertersYin Sun, Victor Adrian, Joseph S. Chang. 1642-1645 [doi]
- Design of a low power time to digital converter for flow metering applicationsAlberto Demarziani, Edoardo Bonizzoni, Franco Maloberti, Alessandro D'Amato. 1646-1649 [doi]
- A 9-bit body-biased vernier ring time-to-digital converter in 65 nm CMOS technologyJunjie Kong, Liter Siek, Chiang Liang Kok. 1650-1653 [doi]
- Selectable starting bit SAR ADCJerry Leung, Allen Waters, Un-Ku Moon. 1654-1657 [doi]
- Adaptive configuration of cloud video transcodingMing Yang, Jianfei Cai, Weiwen Zhang, Yonggang Wen, Chuan Heng Foh. 1658-1661 [doi]
- Kvazaar HEVC encoder for efficient intra codingMarko Viitanen, Ari Koivula, Ari Lemmetti, Jarno Vanne, Timo D. Hämäläinen. 1662-1665 [doi]
- QoS-driven optimization for video streaming using layer-aligned multipriority rateless codesLien-En Hung, Hsu-Feng Hsiao. 1666-1669 [doi]
- Image compressive sensing using overlapped block projection and reconstructionSheng Shi, Ruiqin Xiong, Siwei Ma, Xiaopeng Fan, Wen Gao. 1670-1673 [doi]
- High accuracy sub-pixel image registration under noisy conditionQiang Song, Ruiqin Xiong, Siwei Ma, Xiaopeng Fan, Wen Gao. 1674-1677 [doi]
- A wide band CMOS radio frequency RMS power detector with 42-dB dynamic rangeJiayi Wang, Yongan Zheng, Fan Yang, Fan Tian, Huailin Liao. 1678-1681 [doi]
- Tunable multiband RF CMOS active filter arraysNilan Udayanga, Arjuna Madanayake, Chamith Wijenayake, Peyman Ahmadi, Leonid Belostotski. 1682-1685 [doi]
- A 2.4-GHz low complexity polar transmitter using dynamic biasing for IEEE 802.15.6Vladimir Kopta, Raghavasimhan Thirunarayanan, Franz-Xaver Pengg, Erwan Le Roux, Christian C. Enz. 1686-1689 [doi]
- An injection-locked oscillator-multiplier circuitry suitable for MB-OFDM clock generationTero Koivisto. 1690-1693 [doi]
- Loss mechanisms and switching performance analysis for efficient mm-Waves Class-E PAsOmar El-Aassar, Mohamed El-Nozahi, Hani F. Ragai. 1694-1697 [doi]
- Detection of all low-period windows for the logistic mapZbigniew Galias, Bartlomiej Garda. 1698-1700 [doi]
- Numerically efficient robustness test for nonlinear circuit modelsAlessandro Colombo. 1702-1705 [doi]
- Universal nonlinear phenomena in a class of electronic oscillatorsPeter Harte, Eoghan O'Riordan, Elena Blokhina, Orla Feely, Dimitri Galayko. 1706-1709 [doi]
- Bifurcation study of three-phase inverter system with interacting loadsYining Li, Zhen Li, Siu Chung Wong, Xi Chen, Zhen Chen, Xiangdong Liu. 1710-1713 [doi]
- Analysis of even-order terms in memoryless and quasi-memoryless polynomial baseband modelsHarald Enzinger, Karl Freiberger, Christian Vogel. 1714-1717 [doi]
- GEMINI: A triple-GEM detector read-out mixed-signal ASIC in 180nm CMOSAlessandro Pezzotta, G. Corradi, G. Croci, Marcello De Matteis, F. Murtas, G. Gorini, Andrea Baschirotto. 1718-1721 [doi]
- A novel on-site deployment, commissioning and debugging technique to assess and validate WSN based smart systemsGabriel Mujica, Alejandro Garcia, Javier Gordillo, Jorge Portilla, Teresa Riesgo. 1722-1725 [doi]
- Distributed anti-flocking control for mobile surveillance systemsNuwan Ganganath, Chi-Tsun Cheng, Chi K. Tse. 1726-1729 [doi]
- An automatic tuning technique for background frequency calibration in gyroscope interfaces based on high order bandpass Delta-Sigma modulatorsMohamed Afifi, Michael Maurer, Thorsten Hehn, A. Taschwer, Yiannos Manoli. 1730-1733 [doi]
- Current-mode automated quality control cochlear resonator for bird identity taggingDiederik Paul Moeys, Tobias Delbrück, Shih-Chii Liu. 1734-1737 [doi]
- An all-digital PWM generator with 62.5ps resolution in 28nm CMOS technologySebastian Höppner, Stefan Hänzsche, Stefan Scholze, René Schüffny. 1738-1741 [doi]
- A 20 V, 8 MHz resonant DCDC converter with predictive control for 1 ns resolution soft-switchingTobias Funk, Juergen Wittmann, Thoralf Rosahl, Bernhard Wicht. 1742-1745 [doi]
- Self-adjustable feed-forward control and auto-tracking off-time control techniques for 95% accuracy and 95% efficiency AC-DC non-isolated LED driverHsin Chen, Chi-Wei Chen, Hsueh-Yi Hsieh, Ke-Horng Chen, Tsung-Yen Tsai, Jian-Ru Lin, Ying-Hsi Lin, Chao-Cheng Lee, Pei-Ling Tseng. 1746-1749 [doi]
- A 12A 50V half-bridge gate driver for enhancement-mode GaN HEMTs with digital dead-time correctionZiang Chen, Yat-To Wong, Tak-Sang Yim, Wing-Hung Ki. 1750-1753 [doi]
- 99% High accuracy knee voltage detection for primary-side control in flyback converterTsung-Hsun Tsai, Ke-Horng Chen, Tsung-Yen Tsai, Jian-Ru Lin, Ying-Hsi Lin, Chao-Cheng Lee, Pei-Ling Tseng. 1754-1757 [doi]
- A wireless multichannel optogenetic headstage with on-the-fly spike detectionG. G. Turcotte, C.-O. Dufresne Camaro, A. Avakh Kisomi, R. Ameli, B. Gosselin. 1758-1761 [doi]
- A 64 pixel ISFET-based biosensor for extracellular pH gradient monitoringGhazal Nabovati, Ebrahim Ghafar-Zadeh, Mohamad Sawan. 1762-1765 [doi]
- An FPGA platform for generation of stimulus triggering based on intracortical spike activity in brain-machine-body interface (BMBI) applicationsShahab Shahdoost, Pedram Mohseni. 1766-1769 [doi]
- Towards a three-phase time-multiplexed planar power transmission to distributed implantsByunghun Lee, Maysam Ghovanloo, Dukju Ahn. 1770-1773 [doi]
- Full system for translational studies of personalized medicine with free-moving miceSandro Carrara, Camilla Baj-Rossi, Sara Seyedeh Ghoreishizadeh, Stefano Riario, Gregoire Surrel, Francesca Stradolini, Cristina Boero, Giovanni De Micheli, Enver G. Kilinc, Catherine Dehollain. 1774-1777 [doi]
- Memory-efficient discrete wavelet transform architecture based on wordlength optimizationYusong Hu, Ching-Chuen Jong. 1778-1781 [doi]
- An efficient processor for joint barrel distortion correction and color demosaickingHui-Sung Jeong, Tae-Hwan Kim. 1782-1785 [doi]
- A hardware-efficient deblocking filter design for HEVCChih-Chung Fang, I.-Wen Chen, Tian-Sheuan Chang. 1786-1789 [doi]
- A 3.13nJ/sample energy-efficient speech extraction processor for robust speech recognition in mobile head-mounted display systemsJinmook Lee, Seongwook Park, Injoon Hong, Hoi-Jun Yoo. 1790-1793 [doi]
- An efficient max-log MAP algorithm for VLSI implementation of turbo decodersArash Ardakani, Mahdi Shabany. 1794-1797 [doi]
- XbarSim: An educational simulation tool for memristive crossbar-based circuitsIoannis Vourkas, Dimitrios Stathis, Georgios Ch. Sirakoulis. 1798-1801 [doi]
- Teaching ΔΣ modulators with PyDSM and scientific PythonSergio Callegari, Federico Bizzarri. 1802-1805 [doi]
- A systematic approach to the time-domain computation of the impulse response and post-initial conditions of causal LTI systems at the originVedat Tavsanoglu. 1806-1809 [doi]
- An interactive program for automatic network function generation with insightsYanjie Gu, Guoyong Shi. 1810-1813 [doi]
- Energy efficient transconductor for widely programmable analog circuits and systemsAlonso Morgado, Rocio del Río, José Manuel de la Rosa. 1814-1817 [doi]
- 1-V continuous-time linear equalizer for up to 2 Gb/s over 50-m SI-POFCecilia Gimeno, Erick Guerrero, Carlos Sanchez-Azqueta, G. Royo, Concepción Aldea, Santiago Celma. 1818-1821 [doi]
- A second-order noise-shaping time-to-digital converter using switched-ring oscillatorMohamed Atef, Mohamed El-Nozahi, Emad Hegazi. 1822-1825 [doi]
- Wide linear range voltage-controlled delay unit for time-mode signal processingSoheil Ziabakhsh, Ghyslain Gagnon, Gordon W. Roberts. 1826-1829 [doi]
- An integrated time register and arithmetic circuit with combined operation for time-domain signal processingDaewoong Lee, Dongil Lee, Tae-Ho Lee, Yong Hun Kim, Lee-Sup Kim. 1830-1833 [doi]
- XOR-decomposition principle and its use to build a glitch-free maximum-speed arbitrary binary waveform generator and deglitcherVolnei A. Pedroni. 1834-1837 [doi]
- A study for replacing CMOS gates by equivalent invertersCh. Galani, Andreas Tsormpatzoglou, Panagiotis Chaourani, I. Messaris, Spiros Nikolaidis. 1838-1841 [doi]
- MIL-STD-1553+: Integrated remote terminal and bus controller at 100-Mb/s data ratePrateek Pendyala, Vijaya Sankara Rao Pasupureddi. 1842-1845 [doi]
- DPA vulnerability analysis on Trivium stream cipher using an optimized power modelErica Tena-Sanchez, Antonio J. Acosta. 1846-1849 [doi]
- An overlap-contention free true-single-phase clock dual-edge-triggered flip-flopAndrea Bonetti, Adam Teman, Andreas Burg. 1850-1853 [doi]
- VHDL-AMS virtual prototyping of a generator circuit breaker ablation monitoring systemQianqian Ha, Yannick Maret, Juan Sebastian Rodriguez Estupinan, Alain Vachoux. 1854-1857 [doi]
- Simple and accurate single event charge collection macro modeling for circuit simulationAymeric Privat, Lawrence T. Clark. 1858-1861 [doi]
- Verification of arithmetic datapath designs using word-level approach - A case studyCunxi Yu, Walter Brown, Maciej J. Ciesielski. 1862-1865 [doi]
- Comparative review of NoCs in the context of ASICs and FPGAsKhaled A. Helal, Sameh Attia, Tawfik Ismail, Hassan Mostafa. 1866-1869 [doi]
- Dynamic nets-to-TSVs assignment in 3D floorplanningMohammad A. Ahmed, S. Mohapatra, Malgorzata Chrzanowska-Jeske. 1870-1873 [doi]
- MEMS optical position sensor for sun trackingDavid Welch, Jennifer Blain Christen. 1874-1878 [doi]
- Modeling power consumption for DVFS policiesFabio Diniz Rossi, Mauro Storch, Israel C. De Oliveira, César A. F. De Rose. 1879-1882 [doi]
- Measurement location analysis for information embedded power systemsTiffany L. Lakins, Chika O. Nwankpa. 1883-1886 [doi]
- Semidefinite relaxations of equivalent optimal power flow problems: An illustrative exampleDaniel K. Molzahn, Sina S. Baghsorkhi, Ian A. Hiskens. 1887-1890 [doi]
- A study of time window selection for electric power distribution system analysisNicholas S. Coleman, Karen Nan Miu. 1891-1894 [doi]
- A wireless panoramic endoscope system design and implementation for minimally invasive surgeryChing-Hwa Cheng, Sheng-Ping Hung, Jiun-In Guo, Kai-Che Liu, Jungle Chi-Hsiang Wu. 1895 [doi]
- Live demonstration: An ultra-low power PFM IR-UWB system for short-range audio streamingM. Stoppa, Danilo Demarchi, Marco Crepaldi. 1896 [doi]
- Live demonstration: Efficient event-driven approach using synchrony processing for hardware spiking neural networksGuillaume Seguin-Godin, Frederic Mailhot, Jean Rouat. 1897 [doi]
- Live demonstration: Mixed-signal network analysis characterization and modeling platformPedro Miguel Cruz, Diogo C. Ribeiro, Andre Prata, Nuno Borges Carvalho, Marc Vanden Bossche. 1898 [doi]
- Live demonstration: Gaussian pyramid extraction with a CMOS vision sensorManuel Suarez, Victor M. Brea, Jorge Fernandez-Berni, Ricardo Carmona-Galán, Diego Cabello, Ángel Rodríguez-Vázquez. 1899 [doi]
- Live demonstration: Real-time high dynamic range video acquisition using in-pixel adaptive content-aware tone mapping compressionSonia Vargas-Sierra, Gustavo Liñán Cembrano, Ángel Rodríguez-Vázquez. 1900 [doi]
- Live demonstration: Handwritten digit recognition using spiking deep belief networks on SpiNNakerEvangelos Stromatias, Daniel Neil, Francesco Galluppi, Michael Pfeiffer, Shih-Chii Liu, Steve Furber. 1901 [doi]
- Live demonstration: A dynamically adaptable image processing application running in an FPGA-based WSN platformAlfonso Rodriguez, Juan Valverde, Cesar Castanares, Jorge Portilla, Eduardo de la Torre, Teresa Riesgo. 1902 [doi]
- Live demonstration: Real-time event-driven object recognition on SpiNNakerGarrick Orchard, Xavier Lagorce, Christoph Posch, Steve Furber, Ryad Benosman, Francesco Galluppi. 1903 [doi]
- Live demonstration: A HMM-based real-time sign language recognition system with multiple depth sensorsKai-Yin Fok, Chi-Tsun Cheng, Nuwan Ganganath. 1904 [doi]
- Live demonstration: Spiking neural circuit based navigation inspired by C. elegans thermotaxisChirag Shetty, Sri Nitchith, Rishabh Rawat, S. R. Nandakumar, Pritesh Shah, Shruti Kulkarni, Bipin Rajendran. 1905 [doi]
- Live demonstration: A CMOS ASIC for precise reading of a Magnetoresistive sensor array for NDTDiogo M. Caetano, Moisés Piedade, João Graça, Jorge R. Fernandes, Luis S. Rosado, Tiago Costa. 1906 [doi]
- Live demonstration: Real-time motor rotation frequency detection by spike-based visual and auditory AER sensory integration for FPGAA. Rios-Navarro, Elena Cerezuela-Escudero, M. Domínguez-Morales, Angel Jiménez-Fernandez, Gabriel Jiménez-Moreno, Alejandro Linares-Barranco. 1907 [doi]
- Live demonstration: Real-time free viewpoint synthesis using three-camera disparity estimation hardwareAbdulkadir Akin, Raffaele Capoccia, Jonathan Narinx, Jonathan Masur, Alexandre Schmid, Yusuf Leblebici. 1908 [doi]
- Live demonstration: XbarSim: An educational simulation tool for memristive crossbar-based circuitsIoannis Vourkas, Dimitrios Stathis, Georgios Ch. Sirakoulis. 1909 [doi]
- Live demonstration: A compact NIR fluorescence imaging system design with goggle display for intraoperative guidanceShengkui Gao, Suman Modal, Nan Zhu, Rongguang Liang, Samuel Achilefu, Viktor Gruev. 1910 [doi]
- Live demonstration: A 1300 × 800, 700 mW, 30 fps spectral polarization imagerMissael Garcia, Shengkui Gao, Christopher Edmiston, Timothy York, Viktor Gruev. 1911 [doi]
- Live demonstration: Wearable electronics for a smart garment aiding rehabilitationIrina Spulber, Y. M. Chen, E. Papi, S. Anastasova-Ivanova, Jeroen Bergmann, Alison McGregor, Pantelis Georgiou. 1912 [doi]
- High robustness energy- and area-efficient dynamic-voltage-scaling 4-phase 4-rail asynchronous-logic Network-on-Chip (ANoC)Weng-Geng Ho, Kwen-Siong Chong, Ne Kyaw Zwa Lwin, Bah-Hwee Gwee, Joseph S. Chang. 1913-1916 [doi]
- New triple-transistor based defect-tolerant systems for reliable digital architecturesAtin Mukherjee 0001, Anindya Sundar Dhar. 1917-1920 [doi]
- Redesigning commercial floating-gate memory for analog computing applicationsFarnood Merrikh-Bayat, Xinjie Guo, H. A. Ommani, N. Do, Konstantin K. Likharev, Dmitri B. Strukov. 1921-1924 [doi]
- Evaluation of interconnect fabrics for an embedded MPSoC in 28 nm FD-SOIGregor Sievers, Johannes Ax, Nils Kucza, Martin Flasskamp, Thorsten Jungeblut, Wayne Kelly, Mario Porrmann, Ulrich Rückert 0001. 1925-1928 [doi]
- Multilayer molybdenum disulfide (MoS2) based tunnel transistorMuhammad Sanaullah, Masud H. Chowdhury. 1929-1932 [doi]
- OCBA in the yield optimization of analog integrated circuits by evolutionary algorithmsIvick Guerra-Gómez, Esteban Tlelo-Cuautle, Luis Gerardo de la Fraga. 1933-1936 [doi]
- Automatic design of high-order SC filter circuitsHugo Serra, Rui Santos-Tavares, João Goes. 1937-1940 [doi]
- Design space exploration using hierarchical composition of performance modelsM. Velasco-Jimenez, R. Castro-López, Elisenda Roca, Francisco V. Fernández. 1941-1944 [doi]
- Extraction and application of wiring symmetry rules to route analog multiport terminalsRicardo Martins, Nuno C. Lourenço, António Canelas, Nuno Horta. 1945-1948 [doi]
- A symbolic SC integrator model for fast time-response simulationAilin Zhang, Guoyong Shi. 1949-1952 [doi]
- Design of adiabatic TSV, SWCNT TSV, and Air-Gap Coaxial TSVKhaled Salah, Yehea I. Ismail. 1953-1956 [doi]
- Performance analysis of through silicon via (TSV) and through glass via (TGV) for different materialsAbdul Hamid Bin Yousuf, Nahid M. Hossain, Masud H. Chowdhury. 1957-1960 [doi]
- Performance evaluation of hierarchical NoC topologies for stacked 3D ICsDebora Matos, Max Prass, Márcio Eduardo Kreutz, Luigi Carro, Altamiro Amadeu Susin. 1961-1964 [doi]
- Low swing TSV signaling using novel level shifters with single supply voltageShiwei Fang, Emre Salman. 1965-1968 [doi]
- Physical characterization of steady-state temperature profiles in three-dimensional integrated circuitsSumeet S. Kumar, Amir Zjajo, Rene van Leuken. 1969-1972 [doi]
- Cellular sensor-processor array based visual collision warning sensorÁkos Zarándy, Mate Nemeth, Borbala Pencz, Zoltán Nagy, Tamas Zsedrovits. 1973-1976 [doi]
- Analysis of parallel processor architectures for the solution of the Black-Scholes PDEEndre László, Zoltán Nagy, Michael B. Giles, István Z. Reguly, Jeremy Appleyard, Péter Szolgay. 1977-1980 [doi]
- Emulating massively parallel non-Boolean operators on FPGAAndrás Kiss, Zoltán Nagy, Péter Szolgay, György Csaba, Xiaobo Sharon Hu, Wolfgang Porod. 1981-1984 [doi]
- Online seam tracking for laser welding with a vision chip and FPGA enabled camera systemTero Säntti, Jonne K. Poikonen, Olli Lahdenoja, Mika Laiho, Ari Paasio. 1985-1988 [doi]
- A 630 Mbps non-binary LDPC decoder for FPGAJesus Omar Lacruz, Francisco Garcia-Herrero, Ma José Canet, Javier Valls, Asuncion Perez-Pascual. 1989-1992 [doi]
- On metric sorting for successive cancellation list decoding of polar codesAlexios Balatsoukas-Stimming, Mani Bastani Parizi, Andreas Burg. 1993-1996 [doi]
- A hybrid multimode BCH encoder architecture for area efficient re-encoding approachHoyoung Tang, Gihoon Jung, Jongsun Park. 1997-2000 [doi]
- TTCN: A new approach for low-power split-row LDPC decodersMohammad Shahrad, Mahdi Shabany. 2001-2004 [doi]
- The joint detect and decoding approach for MIMO systems with turbo codesPo-Hsiang Hsiung, Chung-An Shen, Huan-Chun Wang. 2005-2008 [doi]
- Challenges in designing trustworthy cryptographic co-processorsRicardo Graves, Giorgio Di Natale, Lejla Batina, Shivam Bhasin, Baris Ege, Apostolos P. Fournaris, Nele Mentens, Stjepan Picek, Francesco Regazzoni, Vladimir Rozic, Nicolas Sklavos, Bohan Yang. 2009-2012 [doi]
- Improving DPA resistance of S-boxes: How far can we go?Baris Ege, Kostas Papagiannopoulos, Lejla Batina, Stjepan Picek. 2013-2016 [doi]
- On-the-fly tests for non-ideal true random number generatorsBohan Yang, Vladimir Rozic, Nele Mentens, Ingrid Verbauwhede. 2017-2020 [doi]
- A survey on hardware trojan detection techniquesShivam Bhasin, Francesco Regazzoni. 2021-2024 [doi]
- Designing efficient elliptic Curve Diffie-Hellman accelerators for embedded systemsApostolos P. Fournaris, Ioannis Zafeirakis, Christos Koulamas, Nicolas Sklavos, Odysseas G. Koufopavlou. 2025-2028 [doi]
- A single Op-Amp 0+2 sigma-delta modulatorYao Liu, Edoardo Bonizzoni, Franco Maloberti. 2029-2032 [doi]
- Highly linear continuous-time MASH ΔΣ ADC with dual VCO-based quantizersYang Xu, Spencer Leuenberger, Un-Ku Moon. 2033-2036 [doi]
- nd-order ΔΣ modulator with over 85dB SNDRSomayeh Abdollahvand, Nuno F. Paulino, Luís Gomes, João Goes. 2037-2040 [doi]
- A 94-dB SFDR multi-bit audio-band delta-sigma converter with DAC nonlinearity suppressionSwetha S. George, Yu Song, Zeljko Ignjatovic. 2041-2044 [doi]
- A noise-coupled time-interleaved delta-sigma modulator with shifted loop delaysXin Meng, Yi Zhang, Tao He, Pedram Payandehnia, Gabor C. Temes. 2045-2048 [doi]
- Design for an intelligent surveillance system based on system-on-a-programmable-chip platformTsung-Han Tsai, Chih-Hao Chang. 2049-2052 [doi]
- Hardware design and FPGA implementation for road plane extraction based on V-disparity approachImad Benacer, Aicha Hamissi, Abdelhakim Khouas. 2053-2056 [doi]
- Physical computing circuit with no clock to establish Gaussian pyramid of SIFT algorithmYi Li, Fei Qiao, Qi Wei, Huazhong Yang. 2057-2060 [doi]
- Toward joint approximate inference of visual quantities on cellular processor arraysJulien N. P. Martel, Miguel Chau, Piotr Dudek, Matthew Cook. 2061-2064 [doi]
- Two-dimensional discriminant multi-manifolds locality preserving projection for facial expression recognitionNing Zheng, Xin Guo, Lin Qi, Ling Guan. 2065-2068 [doi]
- A voltage-combiners-biased amplifier with enhanced gain and speed using current starvingRicardo Povoa, Nuno C. Lourenço, Nuno Horta, João Goes. 2069-2072 [doi]
- Gain and slew rate enhancement for amplifiers through current starving and feedingShi Bu, Hing Wa Tse, Ka Nang Leung, Jianping Guo, Marco Ho. 2073-2076 [doi]
- Cascode and transconductance with capacitances feedback compensation for multistage amplifiers driving no load and 1nF capacitive loadXu Zhang, Chongli Cai, Degang Chen, Gregory Blum. 2077-2080 [doi]
- Class-AB single-stage OpAmp for low-power switched-capacitor circuitsStepan Sutula, Michele Dei, Lluís Terés, Francisco Serra-Graells. 2081-2084 [doi]
- Gain enhanced high frequency OTA with on-chip tuned negative conductance loadImon Mondal, Nagendra Krishnapura. 2085-2088 [doi]
- Subharmonic instability boundary in DC-AC H-bridge inverters with double edge PWMAbdelali El Aroudi, Weiguo Lu, M. Al-Numay, Herbert H. C. Iu. 2089-2092 [doi]
- Dynamic performance analysis of 3-level integrated buck convertersXun Liu, Cheng Huang, Philip K. T. Mok. 2093-2096 [doi]
- A high step-up DC-DC converter using transformer with intrinsic voltage-doublerKoichi Furukawa, Taro Takiguchi, Ryuga Hosoki, Hirotaka Koizumi. 2097-2100 [doi]
- Simple switched-capacitor-boost converter with large DC gain and low voltage stress on switchesYafei Hu, Adrian Ioinovici. 2101-2104 [doi]
- New phase shift modulator for resonant convertersCarlos Ferreira, Beatriz Borges. 2105-2108 [doi]
- A 486k S/s CMOS time-domain smart temperature sensor with -0.85°C/0.78°C voltage-calibrated errorPoki Chen, Yi-Jiang Hu, Jian-Cheng Liou, Bo-Chang Ren. 2109-2112 [doi]
- A low-power RFID enabled temperature sensor for cold chain managementFrancisco O. O. Gomes, Luciano de Paula, Joao C. S. Santos, Laurent Courcelle, Daniel Piovani, Filipe Viera, Felipe Henes, Marcelo Lubaszewski. 2113-2116 [doi]
- Ground penetrating radar utilizing compressive sampling and OFDM techniquesMohamed Metwally, Nicholai L'Esperance, Tian Xia. 2117-2120 [doi]
- Sensing by growing antennas: A novel approach for designing passive RFID based biosensorsMingquan Yuan, Premjeet Chahal, Evangelyn C. Alocilja, Shantanu Chakrabartty. 2121-2124 [doi]
- A programmable vision chip with pixel-neighborhood level parallel processingJoseph A. Schmitz, Mahir Kabeer Gharzai, Sina Balkir, Michael W. Hoffman, Daniel J. White, Nathan Schemm. 2125-2128 [doi]
- A floorplan-driven high-level synthesis algorithm with multiple-operation chainings based on path enumerationKotaro Terada, Masao Yanagisawa, Nozomu Togawa. 2129-2132 [doi]
- 3-D floorplanning algorithm to minimize thermal interactionsBoris Vaisband, Eby G. Friedman. 2133-2136 [doi]
- Lithography-friendly analog layout migrationXuan Dong, Lihong Zhang. 2137-2140 [doi]
- Effective two-dimensional pattern generation for self-aligned double patterningTakeshi Ihara, Atsushi Takahashi 0001, Chikaaki Kodama. 2141-2144 [doi]
- A compact representation of a quantum controlled ternary barrel shifterNusrat Jahan Lisa, Hafiz Md. Hasan Babu. 2145-2148 [doi]
- Image denoising utilizing the scale-dependency in the contourlet domainHamidreza Sadreazami, M. Omair Ahmad, M. N. Shanmukha Swamy. 2149-2152 [doi]
- Real-Valued ESPRIT for two-dimensional DOA estimation of noncircular signals for acoustic vector sensor arrayHan Chen, Wei-Ping Zhu, M. N. Shanmukha Swamy. 2153-2156 [doi]
- On unbiased identification of autoregressive signals with noisy measurementsYoushen Xia, Wei Xing Zheng. 2157-2160 [doi]
- Practical application of random forests for super-resolution imagingJun-Jie Huang, Wan-Chi Siu. 2161-2164 [doi]
- Gradient-weighted structural similarity for image quality assessmentsQiaohong Li, Yuming Fang, Weisi Lin, Daniel Thalmann. 2165-2168 [doi]
- Performance of digital discrete-time implementations of non-Foster circuit elementsThomas P. Weldon, John M. C. Covington, Kathryn L. Smith, Ryan S. Adams. 2169-2172 [doi]
- A novel fine frequency estimation serial architecture applied in satellite communicationsGabriel S. da Silva, Augusto F. R. Queiroz, Eduardo R. de Lima, Cesar G. Chaves. 2173-2176 [doi]
- Encoding compressive sensing measurements with Golomb-Rice codesWalter D. Leon-Salas. 2177-2180 [doi]
- Hardware implementation of all digital calibration for undersampling TIADCsHan Le Duc, Duc-Minh Nguyen, Chadi Jabbour, Tarik Graba, Patricia Desgreys, Olivier Jamin, Van Tam Nguyen. 2181-2184 [doi]
- Efficient radix conversions for classes of radicesHuapeng Wu. 2185-2188 [doi]
- Quantization error calculation of various realizations of 2-D separable-in-denominator recursive filtersDali Wang, Ying Bai, Ali Zilouchian. 2189-2192 [doi]
- Weighted pole and zero sensitivity minimization for state-space digital filtersTakao Hinamoto, Akimitsu Doi, Wu-Sheng Lu. 2193-2196 [doi]
- A general expression of the low-pass maximally flat FIR digital differentiatorsTakashi Yoshida, Yosuke Sugiura, Naoyuki Aikawa. 2197-2200 [doi]
- A piloted notch time-frequency information based variable step-size algorithmDinesh Kumar Chobey, Yong Ching Lim. 2201-2204 [doi]
- Decimation filters for high-speed delta-sigma modulators with passband constraints: General versus CIC-based FIR filtersOscar Gustafsson, Håkan Johansson. 2205-2208 [doi]
- A 1Gbps-10 Gbps multi-standard auto-calibrated all digital phase interpolator in 14nm CMOSAnup Jyoti Deka, Venkatesh Prasanna. 2209-2212 [doi]
- Inductorless linearization of low-power active mixersLi Xu, Kainan Wang, Chun-hsiang Chang, Marvin Onabajo. 2213-2216 [doi]
- A 0.5-2 GHz high frequency selectivity RF front-end with series N-path filterYing Guo, Ling Shen, Fan Yang, Yongan Zheng, Long Chen, Xing Zhang, Huailin Liao. 2217-2220 [doi]
- An integrated circuit solution of thermal noise thermometer with cascaded pre-amplifier and 6-bit resolution analog-to-digital converterXu Zhang, Degang Chen. 2221-2224 [doi]
- A wide-range dual-modulus prescaler using a novel SCL biasing techniqueCristina Azcona, Belén Calvo, Nicolas Medrano, Santiago Celma, Cecilia Gimeno. 2225-2228 [doi]
- A quad-mode DCO for multi-standard communication applicationBo Jiang, Tian Xia. 2229-2232 [doi]
- A MEMS microphone interface based on a CMOS LC oscillator and a digital sigma-delta modulatorFernando Cardes, Ruzica Jevtic, Luis Hernández, Andreas Wiesbauer, Dietmar Sträußnigg, Richard Gaggl. 2233-2236 [doi]
- An FSK modulator at 23.2 MHz with ±0.9% accuracy for the USB power delivery standardAntonio A. D'Amico, Angelo Nagari, Piero Malcovati, Andrea Baschirotto. 2237-2240 [doi]
- An energy-efficient level shifter for low-power applicationsS. Rasool Hosseini, Mehdi Saberi, Reza Lotfi. 2241-2244 [doi]
- A subthreshold, low-power, RHBD reference circuit, for earth observation and communication satellitesCharalambos M. Andreou, Alessandro Paccagnella, Diego González Castaño, Faustino Gómez Rodríguez, Valentino Liberali, Alexander V. Prokofiev, Cristiano Calligaro, Arto Javanainen, Ari Virtanen, Daniel Nahmad, Julius Georgiou. 2245-2248 [doi]
- Time-interleaved integrating quantizer incorporating channel coupling for speed and linearity enhancementYue Hu, Spencer Leuenberger, Yang Xu, Un-Ku Moon. 2249-2252 [doi]
- High-speed and high-linearity ring oscillator based pulse width modulatorTaewook Kim, Jun Liu, Nima Maghari. 2253-2256 [doi]
- Charge-compensation-based reference technique for switched-capacitor ADCsYa Wang, Fule Li, Chunying Xue, Zhihua Wang. 2257-2260 [doi]
- DLL based test solution for interposers in 2.5-D ICsV. Mashkovtsev, Ali Attaran, Rashid Rashidzadeh. 2261-2264 [doi]
- Accurate spectral testing of analog-to-digital converters with frequency drift using phase correction and averagingLi Xu, Degang Chen. 2265-2268 [doi]
- Thermal-aware floorplanning and layout generation of MOSFET power stagesDavid Guilherme, Joao Pereira, Nuno Horta, Jorge Guilherme. 2269-2272 [doi]
- Voltage-based wideband measurement of transmission characteristics using an integrated receiver ICMehran Bakhshiani, Pedram Mohseni. 2273-2276 [doi]
- A low cost jitter estimation and ADC spectral testing methodLi Xu, Degang Chen. 2277-2280 [doi]
- 1.1-V 200 MS/s 12-bit digitally calibrated pipeline ADC in 40 nm CMOSHussein Adel, Marc Sabut, Marie-Minerve Louërat. 2281-2284 [doi]
- A high-speed high-accuracy voltage-to-time-difference converter for time domain analog-to-digital convertersYu-Chuan Lin, Hen-Wai Tsao. 2285-2288 [doi]
- A digital to time converter with fully digital calibration scheme for ultra-low power ADPLL in 40 nm CMOSBindi Wang, Yao-Hong Liu, Pieter Harpe, Johan H. C. van den Heuvel, Bo Liu, Hao Gao, Robert Bogdan Staszewski. 2289-2292 [doi]
- Bitstream switching rate based calibration of delta-sigma modulatorsJingjing Hu, Hans Hegt, Arthur H. M. van Roermund, Sotir Ouzounov. 2293-2296 [doi]
- A digital time skew calibration technique for time-interleaved ADCsLei Qiu, Kai Tang, Yuanjin Zheng, Liter Siek. 2297-2300 [doi]
- An energy-efficient heterogeneous dual-core processor for Internet of ThingsZhibo Wang, Yongpan Liu, YiNan Sun, Yang Li, Daming Zhang, Huazhong Yang. 2301-2304 [doi]
- NoC router using STT-MRAM based hybrid buffers with error correction and limited flit retransmissionTurbo Majumder, Manan Suri, Vinay Shekhar. 2305-2308 [doi]
- Analysis of subthreshold swing in multichannel tunneling carbon nanotube field effect transistor (MT-CNTFET)Azzedin D. Es-Sakhi, Masud H. Chowdhury. 2309-2312 [doi]
- Modeling the impact of dynamic voltage scaling on 1T-1J STT-RAM write energy and performanceKien Trinh Quang, Sergio Ruocco, Massimo Alioto. 2313-2316 [doi]
- A design methodology for minimizing power loss in integrated DC-DC converter with spiral inductorsSami Smaili, Shuang Li, Yehia Massoud. 2317-2320 [doi]
- A footprint-constrained efficiency roadmap for on-chip switched-capacitor DC-DC convertersLoai G. Salem, Patrick P. Mercier. 2321-2324 [doi]
- Evaluation of TFET and FinFET devices and 32-Bit CLA circuits considering work function variation and line-edge roughnessChien-Ju Chen, Yin-Nien Chen, Ming-Long Fan, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang. 2325-2328 [doi]
- Realization of a carbon nanotube based electrochemical fractorAvishek Adhikary, Munmun Khanra, Siddhartha Sen, Karabi Biswas. 2329-2332 [doi]
- Fractional order noise identification with application to temperature sensor dataPawel Ziubinski, Dominik Sierociuk. 2333-2336 [doi]
- Digitally programmed fractional-order Chebyshev filters realizations using current-mirrorsGeorgia Tsirimokou, Costas Psychalinos, Ahmed S. Elwakil. 2337-2340 [doi]
- A physical experimental study of the fractional harmonic oscillatorGary Bohannan, Brenda Knauber. 2341-2344 [doi]
- Introducing deglitched-feedback plus convergent encoding for straight hardware implementation of asynchronous finite state machinesVolnei A. Pedroni. 2345-2348 [doi]
- AES architectures for minimum-energy operation and silicon demonstration in 65nm with lowest energy per encryptionWenfeng Zhao, Yajun Ha, Massimo Alioto. 2349-2352 [doi]
- Area-sharing cyclic structure MRF cirucits design in ultra-low supply voltageYan Li, Xiaoqian Li, JianHao Hu, Sheng Yang. 2353-2356 [doi]
- Exploiting bit-depth scaling for quality-scalable energy efficient display processingQiubo Chen, Hengyu Zhao, Hongbin Sun, Nanning Zheng. 2357-2360 [doi]
- Error-energy analysis of hardware logarithmic approximation methods for low power applicationsAlicia Klinefelter, Joseph F. Ryan 0002, James Tschanz, Benton H. Calhoun. 2361-2364 [doi]
- Recent advances in multidimensional systems and signal processing: An overviewArjuna Madanayake, Chamith Wijenayake, Zhiping Lin, Nathan Dornback. 2365-2368 [doi]
- 2-D zero-phase IIR notch filters design based on state-space representation of 2-D frequency transformationShi Yan, Lijun Sun, Li Xu. 2369-2370 [doi]
- Modelling of multidimensional (MD) heat diffusion via the Kirchhoff paradigmAlfred Fettweis, Sankar Basu. 2373-2376 [doi]
- 2-D signal theoretic investigation of background elimination in visual tomographic reconstruction for safety and enabling health applicationsJörg Velten, Anton Kummert, Alexandros Gavriilidis, Fritz Boschen. 2377-2380 [doi]
- A 5-D IIR depth-velocity filter for enhancing objects moving on linear-trajectories in light field videosChamira U. S. Edussooriya, Leonard T. Bruton, Panajotis Agathoklis. 2381-2384 [doi]
- A high-voltage DC bias architecture implementation in a 17 Gbps low-power common-cathode VCSEL driver in 80 nm CMOSLászló Szilágyi, Guido Belfiore, Ronny Henker, Frank Ellinger. 2385-2388 [doi]
- A 10 Gb/s hybrid PLL-based forwarded clock receiver in 65-nm CMOSKwanseo Park, Woo-Rham Bae, Haram Ju, Jinhyung Lee, Gyu-Seob Jeong, Yoonsoo Kim, Deog Kyoon Jeong. 2389-2392 [doi]
- A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking schemeAi Chien, Shuo-Hong Hung, Kuan-I. Wu, Chang-Yi Liu, Min-Han Hsieh, Charlie Chung-Ping Chen. 2393-2396 [doi]
- A 2 × 50-Gb/s receiver with adaptive channel loss equalization and far-end crosstalk cancellationJerry Han, Michael M. Green. 2397-2400 [doi]
- A 64dB gain 60GHz receiver with 7.1dB noise figure for 802.11ad applications in 90nm CMOSJun Luo, Lei Zhang, Wei Zhu, Li Zhang, Yan Wang, Zhiping Yu. 2401-2404 [doi]
- ConvNets experiments on SpiNNakerTeresa Serrano-Gotarredona, Bernabé Linares-Barranco, Francesco Galluppi, Luis A. Plana, Stephen B. Furber. 2405-2408 [doi]
- Human vs. computer slot car racing using an event and frame-based DAVIS vision sensorTobi Delbrück, Michael Pfeiffer, R. Juston, G. Orchard, E. Muggler, Alejandro Linares-Barranco, M. W. Tilden. 2409-2412 [doi]
- Real-time event-driven spiking neural network object recognition on the SpiNNaker platformGarrick Orchard, Xavier Lagorce, Christoph Posch, Steve B. Furber, Ryad Benosman, Francesco Galluppi. 2413-2416 [doi]
- A USB3.0 FPGA event-based filtering and tracking framework for dynamic vision sensorsAlejandro Linares-Barranco, Francisco Gomez-Rodriguez, Vicente Villanueva, Luca Longinotti, Tobi Delbrück. 2417-2420 [doi]
- Scene stitching with event-driven sensors on a robot head platformPhilipp Klein, Jörg Conradt, Shih-Chii Liu. 2421-2424 [doi]
- A 10-bit 50-MS/s SAR ADC for dual-voltage domain portable systemsWei-Hao Tsai, Che-Hsun Kuo, Soon-Jyh Chang, Li-Tse Lo, Ying-Cheng Wu, Chun-Jen Chen. 2425-2428 [doi]
- A 1.2V 1MS/s 7.65fJ/conversion-step 12-bit hybrid SAR ADC with time-to-digital converterSung-En Hsieh, Cheng-Kang Ho, Chih-Cheng Hsieh. 2429-2432 [doi]
- A split transconductor high-speed SAR ADCDante Gabriel Muratore, Edoardo Bonizzoni, Franco Maloberti. 2433-2436 [doi]
- A 4.5fJ/conversion-step 9-bit 35MS/s configurable-gain SAR ADC in a compact areaYe Xu, Pieter Harpe, Trond Ytterdal. 2437-2440 [doi]
- Reference-less SAR ADC for on-chip thermal monitoring in CMOSSami Ur Rehman, Ayman Shabra. 2441-2444 [doi]
- Characterization and modeling of reliability issues in nanoscale devicesG. Rzepa, Wolfgang Gös, Ben Kaczer, Tibor Grasser. 2445-2448 [doi]
- Unified approach for simulation of statistical reliability in nanoscale CMOS transistors from devices to circuitsA. Asenov, Jie Ding, Dave Reid, Plamen Asenov, Salvatore M. Amoroso, Fikru Adamu-Lema, Louis Gerrer. 2449-2452 [doi]
- Impact of temporal transistor variations on circuit reliabilityRunsheng Wang, Yu Cao. 2453-2456 [doi]
- Cross-layer resilient system design flowFabian Oboril, Mojtaba Ebrahimi, Saman Kiamehr, Mehdi Baradaran Tahoori. 2457-2460 [doi]
- Constant gm rail-to-rail CMOS OpAmp with only one differential pair and switched level shiftersMaría de Rodanas Valero Bernal, Antonio J. López-Martín, Alejandro Roman-Loera, Jaime Ramírez-Angulo, Ramón González Carvajal. 2461-2464 [doi]
- Design considerations of STCB OTA in CMOS 65nm with large capacitive loadsKai Ho Mak, Marco Ho, Ka Nang Leung, Wang Ling Goh. 2465-2468 [doi]
- Low-voltage amplifier with improved linearity using triode region MOSFETHiroki Sato, Shigetaka Takagi. 2469-2472 [doi]
- An improved recycling folded cascode amplifier with gain boosting and phase margin enhancementMoaaz Ahmed, Ikramullah Shah, Fang Tang, Amine Bermak. 2473-2476 [doi]
- A low noise amplifier chain for digital satellite radio applicationsJuergen Roeber, Andreas Baenisch, Georg Fischer, Robert Weigel. 2477-2480 [doi]
- Stabilization of fast-scale instabilities in PCM boost PFC converter with dynamic slope compensationYidi Yang, Weiguo Lu, Herbert H. C. Iu, Tyrone Fernando. 2481-2484 [doi]
- A new semi-analytic approach for class-E resonant DC-DC converter designNicola Bertoni, Giovanni Frattini, Roberto Massolini, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 2485-2488 [doi]
- Bifurcation behavior in a two-loop DC-DC quadratic boost converterAbdelali El Aroudi, Germain Garcia, D. Fournier, M. Al-Numay, K. H. Al Hosani, Luis Martinez-Salamero. 2489-2492 [doi]
- Design of a non-isolated single-switch three-port DC-DC converter for standalone PV-battery power systemJunkai Zhao, Herbert H. C. Iu, Tyrone Fernando, Le An, Dylan Dah-Chuan Lu. 2493-2496 [doi]
- Digitally controlled low cross-regulation single-inductor dual-output (SIDO) buck converterBu-Wei Chen, Le-Ren Chang-Chien. 2497-2500 [doi]
- Algorithm and implementation of an associative memory for oriented edge detection using improved clustered neural networksRobin Danilo, Hooman Jarollahi, Vincent Gripon, Philippe Coussy, Laura Conde-Canencia, Warren J. Gross. 2501-2504 [doi]
- Energy-efficient and high throughput sparse distributed memory architectureMingu Kang, Eric P. Kim, Min-Sun Keel, Naresh R. Shanbhag. 2505-2508 [doi]
- Neural approximating architecture targeting multiple application domainsFengbin Tu, Shouyi Yin, Peng Ouyang, Leibo Liu, Shaojun Wei. 2509-2512 [doi]
- A continuous-time varactor-based temperature compensation circuit for floating-gate multipliers and inner-product circuitsLiang Zhou, Shantanu Chakrabartty. 2513-2516 [doi]
- Winner-take-all neural network with digital frequency-locked loopHiroomi Hikawa. 2517-2520 [doi]
- Memory efficient architecture for belief propagation based disparity estimationSih-Sian Wu, Hong-Hui Chen, Chen-Han Tsai, Liang-Gee Chen. 2521-2524 [doi]
- Real-time free viewpoint synthesis using three-camera disparity estimation hardwareAbdulkadir Akin, Raffaele Capoccia, Jonathan Narinx, Jonathan Masur, Alexandre Schmid, Yusuf Leblebici. 2525-2528 [doi]
- Frame compatible format fast encoder with stereo matchingWenxin Yu, Liang Yu, Weichen Wang, Jiu Xu. 2529-2532 [doi]
- An efficient and high quality rasterization algorithm and architecture in 3D graphics systemsYeong-Kang Lai, Yu-Chieh Chung. 2537-2540 [doi]
- Robust via-programmable ROM design based on 45nm process considering process variation and enhancement Vmin and yieldByung-Jun Jang, Chan-Ho Lee, Sung Hun Sim, Kyu-won Choi, Do-Hun Byun, Yeon-ho Jung, Ki-Man Park, Dong-Yeon Heo, Gyu-Hong Kim, Joon-Sung Yang. 2541-2544 [doi]
- Design of high-temperature SRAM for reliable operation beyond 250°CRadisav Cojbasic, Yusuf Leblebici. 2545-2548 [doi]
- A 28nm 36kb high speed 6T SRAM with source follower PMOS read and bit-line under-driveChi-Hao Hong, Yi-Wei Chiu, Jun-Kai Zhao, Shyh-Jye Jou, Wen-Tai Wang, Reed Lee. 2549-2552 [doi]
- A 32kb 9T SRAM with PVT-tracking read margin enhancement for ultra-low voltage operationAnh-Tuan Do, Kiat Seng Yeo, Tony Tae-Hyoung Kim. 2553-2556 [doi]
- A control scheme for eliminating garbage collection during highspeed analysis of big-graph data stored in NAND flash memoryHiroshi Uchigaito, Seiji Miura, Takumi Nito. 2557-2560 [doi]
- Spurs-free single-bit-output frequency synthesizers for fully-digital RF transmittersPaul P. Sotiriadis. 2561-2564 [doi]
- Fractional spur suppression in all-digital phase-locked loopsPeng Chen, Xiongchuan Huang, Robert Bogdan Staszewski. 2565-2568 [doi]
- DPLL with hybrid ΔΣ phase/frequency detectorIoannis L. Syllaios, Henrik T. Jensen. 2569-2572 [doi]
- Enabling highly energy efficient WSN through PLL-free, fast wakeup radiosRaghavasimhan Thirunarayanan, David Ruffieux, Christian C. Enz. 2573-2576 [doi]
- The noise and spur delusion in fractional-N frequency synthesizer designMichael Peter Kennedy, Hongjia Mo, Zhida Li, Guosheng Hu, Paolo Scognamiglio, Ettore Napoli. 2577-2580 [doi]
- Linearity efficiency factor and power-efficient operational transconductance amplifier in subthreshold operationTzu-Yun Wang, Li-Han Liu, Min-Rui Lai, Sheng-Yu Peng. 2581-2584 [doi]
- A 6.42 mW low-power feed-forward FxLMS ANC VLSI design for in-ear headphonesHong-Son Vu, Kuan-Hung Chen, Shih-Feng Sun, Tien-Mau Fong, Che-Wei Hsu, Lei Wang. 2585-2588 [doi]
- A single-VDD half-clock-tolerant fine-grained dynamic voltage scaling pipelineRong Zhou, Kwen-Siong Chong, Tong Lin, Bah-Hwee Gwee, Joseph S. Chang. 2589-2592 [doi]
- Power optimization design for probabilistic logic circuitsRan Xiao, Chunhong Chen. 2593-2595 [doi]
- Ultra-low-energy adiabatic dynamic logic circuits using nanoelectromechanical switchesChristopher Lawrence Ayala, Antonios Bazigos, Daniel Grogg, Yu Pu, Christoph Hagleitner. 2596-2599 [doi]
- Graph QMF with flatness constraintsDavid B. H. Tay, Zhiping Lin. 2600-2603 [doi]
- Multi-window real-valued discrete Gabor transform for long and infinite sequencesLiang Tao, H. K. Kwan. 2604-2607 [doi]
- Efficient filter bank multicarrier realizations for 5GLeonardo Gomes Baltar, Israa Slim, Josef A. Nossek. 2608-2611 [doi]
- Integer-to-integer complex extended lapped transformJuuso Alhava, Markku Renfors. 2612-2615 [doi]
- Multi-beamforming with uniform linear array and algebraic integer quantization based DCTZiad Gias, Md. Mehedi Hasan, Khan A. Wahid. 2616-2619 [doi]
- A hybrid OFDM body coupled communication transceiver for binaural hearing aids in 65nm CMOSWala Saadeh, Yonatan Kifle, Jerald Yoo. 2620-2623 [doi]
- A 1.3μW 0.7μVRMS chopper current-reuse instrumentation amplifier for EEG applicationsGuocheng Huang, Tao Yin, Qisong Wu, Yuanming Zhu, Haigang Yang. 2624-2627 [doi]
- A 400 mV atrial fibrillation detector with 0.56 pJ/operation in 65nm CMOSOskar Andersson, Joachim Neves Rodrigues. 2628-2631 [doi]
- A 5-tissue-layer lumped-element based HBC circuit model compatible to IEEE802.15.6Jingna Mao, Bo Zhao, Yong Lian, Huazhong Yang. 2632-2635 [doi]
- An inertial sensor based balance and gait analysis systemWei-Hsin Wang, Pau-Choo Chung, Guo Liang Yang, Chien-Wen Lin, Yu-Liang Hsu, Ming-Chyi Pai. 2636-2639 [doi]
- Determining potentially unstable operating points using time-varying root-locusJani K. Jarvenhaara, Nikolay T. Tchamov, Igor M. Filanovsky. 2640-2643 [doi]
- Topological symbolic simplification for analog designHanbin Hu, Guoyong Shi, Andy Tai, Frank Lee. 2644-2647 [doi]
- A low-voltage, low-power amplifier created by reasoning-based, systematic topology synthesisFanshu Jiao, Alex Doboli. 2648-2651 [doi]
- A novel yield aware multi-objective analog circuit optimization toolGönenç Berkol, Engin Afacan, Günhan Dündar, Ali Emre Pusane, I. Faik Baskaya. 2652-2655 [doi]
- th-order low-power diode-C-based filter with 12dBm-IIP3 at the cut-off frequencyAntonio A. D'Amico, Marcello De Matteis, Stefano D'Amico, Lorenzo Crespi, Andrea Baschirotto. 2656-2659 [doi]
- An analog adaptive notch filter based on the noise cancellation principleAhmad RezazadehReyhani, Chetan Jayanthmurthy, Bill Gillman, Jeffrey Walling, John Belz, Behrouz Farhang-Boroujeny. 2660-2663 [doi]
- LC filters with enhanced memristive dampingVasileios Ntinas, Ioannis Vourkas, Georgios Ch. Sirakoulis. 2664-2667 [doi]
- Pole frequency and pass-band gain tunable novel fully-differential current-mode all-pass filterNorbert Herencsar, Jan Jerabek, Jaroslav Koton, Kamil Vrba, Shahram Minaei, Izzet Cem Göknar. 2668-2671 [doi]
- Design of wide-band amplifiers/filters using Lommel polynomialsIgor M. Filanovsky. 2672-2675 [doi]
- Optimal resource allocation under TCP Reno and Vegas in complex communication networksHuiyun Liu, Yongxiang Xia. 2676-2679 [doi]
- Cooperative Design of Networked Observers for Stabilizing LTI PlantsKexin Liu, Henghui Zhu, Jinhu Lu, Maciej J. Ogorzalek. 2680-2683 [doi]
- Assessment of Robustness of Power Systems from the Perspective of Complex NetworksXi Zhang, Chi K. Tse. 2684-2687 [doi]
- Topological bifurcations in networks of proximity Kuramoto oscillatorsPietro De Lellis, Francesco Garofalo, Francesco Lo Iudice, Giovanni Pugliese Carratelli. 2688-2691 [doi]
- Cluster-based informed agents selection for flocking with a virtual leaderNuwan Ganganath, Chi-Tsun Cheng, Chi K. Tse, Xiaofan Wang. 2692-2695 [doi]
- Efficient event-driven approach using synchrony processing for hardware spiking neural networksGuillaume Seguin-Godin, Frederic Mailhot, Jean Rouat. 2696-2699 [doi]
- Case study: Bio-inspired self-adaptive strategy for spike-based PID controllerJunxiu Liu, Jim Harkin, Malachy McElholm, Liam McDaid, Angel Jiménez-Fernandez, Alejandro Linares-Barranco. 2700-2703 [doi]
- Gibbs sampling with low-power spiking digital neuronsSrinjoy Das, Bruno Umbria Pedroni, Paul Merolla, John V. Arthur, Andrew S. Cassidy, Bryan L. Jackson, Dharmendra S. Modha, Gert Cauwenberghs, Kenneth Kreutz-Delgado. 2704-2707 [doi]
- Decision making and perceptual bistability in spike-based neuromorphic VLSI systemsFederico Corradi, Hongzhi You, Massimiliano Giulioni, Giacomo Indiveri. 2708-2711 [doi]
- Design of a QDI asynchronous AER serializer/deserializer link in 180nm for event-based sensors for robotic applicationsGiovanni Rovere, Chiara Bartolozzi, Nabil Imam, Rajit Manohar. 2712-2715 [doi]
- Design exploration of graphene-FET based ring-oscillator circuits: A test-bench for large-signal compact modelsMario Iannazzo, Valerio Lo Muzzo, Saul Rodriguez Duenas, Ana Rusu, Max C. Lemme, Eduard Alarcón. 2716-2719 [doi]
- Carbon-based sleep switch dynamic logic circuits with variable strength keeper for lower-leakage currents and higher-speedYanan Sun, Volkan Kursun. 2720-2723 [doi]
- Low cost and energy, thermal noise driven, probability modulated random number generatorNicoleta Cucu Laurenciu, Sorin Dan Cotofana. 2724-2727 [doi]
- Towards probabilistic analog behavioral modelingAndré Lange, Ihor Harasymiv, Oliver Eisenberger, Frederic Roger, Joachim Haase, Rainer Minixhofer. 2728-2731 [doi]
- Fault-aware configurable logic block for reliable reconfigurable FPGAsB. Chagun Basha, Sébastien Pillement, Stanislaw J. Piestrak. 2732-2735 [doi]
- Down-sampling based embedded compression in video systemsYuxiang Shen, Xiaolin Wu. 2736-2739 [doi]
- Reordering-based transform for compressing human motion capture dataJunhui Hou, Lap-Pui Chau, Ying He 0001, Nadia Magnenat-Thalmann. 2740-2743 [doi]
- Joint quantization and diffusion for compressed sensing measurements of natural imagesLeo Yu Zhang, Kwok-Wo Wong, Yushu Zhang, Qiuzhen Lin. 2744-2747 [doi]
- An efficient HEVC to H.264/AVC transcoding systemMinhao Tang, Jiangtao Wen. 2748-2751 [doi]
- Massively parallel KD-tree construction and nearest neighbor search algorithmsLinjia Hu, Saeid Nooshabadi, Majid Ahmadi. 2752-2755 [doi]
- Adapting hierarchical ALS algorithms for temporal psychovisual modulationZhongpai Gao, Guangtao Zhai, Xiao Gu, Jiantao Zhou. 2756-2759 [doi]
- High-quality texture compression using adaptive color grouping and selection algorithmChun-Wei Chen, Ching-Heng Su, Der-Wei Yang, Jonas Wang, Chia-Cheng Lo, Ming-Der Shieh. 2760-2763 [doi]
- Quality-progressive coding for high bit-rate background frames on surveillance videosShaoge Guo, Yaowei Wang, YongHong Tian, Peiyin Xing, Wen Gao. 2764-2767 [doi]
- A linear dependent rate-quantization model for scalable video enhancement layer encodingJunhui Hou, Shuai Wan, Zhan Ma, Lap-Pui Chau. 2768-2771 [doi]
- Improvements on Intra Block Copy in natural content video codingHaoming Chen, Yu-Sheng Chen, Ming-Ting Sun, Ankur Saxena, Madhukar Budagavi. 2772-2775 [doi]
- Disparity-compensated inter-layer motion prediction using standardized HEVC extensionsLi Chen, Miska M. Hannuksela, Houqiang Li. 2776-2779 [doi]
- Fast segment-wise DC coding for 3D video compressionZhouye Gu, Jianhua Zheng, Nam Ling, Philipp Zhang. 2780-2783 [doi]
- Context-adaptive fast motion estimation of HEVCXufeng Li, Ronggang Wang, Xiaole Cui, Wenmin Wang. 2784-2787 [doi]
- λ Domain based optimal bit allocation for scalable high efficiency video codingLi Li, Houqiang Li. 2788-2791 [doi]
- Hierarchical fast mode decision algorithm for intra prediction in HEVCTae-Sun Kim, Myung Hoon Sunwoo, Jin-Gyun Chung. 2792-2795 [doi]
- Reduced-reference image quality assessment based on entropy differences in DCT domainYazhong Zhang, Jinjian Wu, Guangming Shi, Xuemei Xie. 2796-2799 [doi]
- Japanese character based printed source identificationMin-Jen Tsai, Chien-Lun Hsu, Jin-Sheng Yin, Imam Yuadi. 2800-2803 [doi]
- Real-time vehicle color identification using symmetrical SURFs and chromatic strengthLi-Chih Chen, Jun-Wei Hsieh, Hui-Fen Chiang, Tsung-Hsien Tsai. 2804-2807 [doi]
- Real-time visual play-break detection in sport events using a context descriptorMarc-Andre Carbonneau, Alexandre J. Raymond, Eric Granger, Ghyslain Gagnon. 2808-2811 [doi]
- Parameter-free view synthesis distortion model with application to depth video codingMeng Yang, Ce Zhu, Xuguang Lan, Nanning Zheng. 2812-2815 [doi]
- A general histogram modification framework for efficient contrast enhancementKe Gu, Guangtao Zhai, Shiqi Wang, Min Liu, Jiantao Zhoi, Weisi Lin. 2816-2819 [doi]
- Image guided label map propagation in video sequencesShuolin Di, Zhebin Zhang, Shiqi Wang, Nan Zhang, Siwei Ma. 2820-2823 [doi]
- Path optimization for terrestrial robots using Homotopy Path Planning MethodG. Diaz-Arango, Arturo Sarmiento-Reyes, Luis Hernández-Martínez, Héctor Vázquez-Leal, D. D. Lopez-Hernandez, Antonio Marín-Hernández. 2824-2827 [doi]
- High performance IP core for HEVC quantizationTiago Dias, Nuno Roma, Leonel Sousa. 2828-2831 [doi]
- An FPGA processor for real-time, fixed-point refinement of CDVS keypointsGiorgio Lopez, Ettore Napoli, Domenico Meglio, Antonio G. M. Strollo. 2832-2835 [doi]
- Using the CS decomposition to compute the 8-point DCTMarek Parfieniuk. 2836-2839 [doi]
- A 24GHz low power and low phase noise PLL frequency synthesizer with constant KVCO for 60GHz wireless applicationsJun Luo, Lei Zhang, Li Zhang, Yan Wang, Zhiping Yu. 2840-2543 [doi]
- Design and optimization of a 94GHz rotary traveling wave oscillator for mm-wave applicationsMahmoud Sawaby, Ahmed Nader Mohieldin, Ahmed Eladawy. 2844-2847 [doi]
- A wideband transformer-coupled frequency quadrupler using an asymmetrical balun in 0.25μm SiGe for backhaul communicationSudipta Chakraborty, Xi Zhu, Oya Sevimli, Michael Heimlich. 2848-2851 [doi]
- A fast-settling high linearity auto gain control for broadband OFDM-based PLC systemKuan-I. Wu, Szu-Yao Hung, Shuo-Hong Hung, Charlie Chung-Ping Chen. 2852-2855 [doi]
- A compact 22-Gb/s transmitter for optical links with all-digital phase-locked loopSungWoo Kim, Sungchun Jang, Jun-Eun Park, Yoonsoo Kim, Gyungock Kim, Deog Kyoon Jeong. 2856-2859 [doi]
- A 0.38 pj/bit 1.24 nW chip-to-chip serial link for ultra-low power systemsChristopher J. Lukas, Benton H. Calhoun. 2860-2863 [doi]
- 20-Gb/s 3.6-VPP-swing source-series-terminated driver with 2-Tap FFE in 65-nm CMOSJun-Eun Park, Yoonsoo Kim, SungWoo Kim, Gyungock Kim, Deog Kyoon Jeong. 2864-2867 [doi]
- Minimum jitter adaptive decision feedback equalizer for 4PAM serial linksAlaa R. Al-Taee, Fei Yuan, Andy Gean Ye. 2868-2871 [doi]
- Multicore power proxies using least-angle regressionRupesh Raj Karn, Ibrahim Abe M. Elfadel. 2872-2875 [doi]
- Combinational fault simulation in sequential circuitsRaimund Ubar, Jaak Kousaar, Maksim Gorev, Sergei Devadze. 2876-2879 [doi]
- Optimization of memory banking in embedded multidimensional signal processing applicationsFlorin Balasa, Noha Abuaesh, Cristian V. Gingu, Hongwei Zhu 0001. 2880-2883 [doi]
- Approximation of multiple constant multiplications using minimum look-up tables on FPGALevent Aksoy, Paulo F. Flores, José C. Monteiro. 2884-2887 [doi]
- Inductive coupling effects in large TSV arraysKan Xu, Eby G. Friedman. 2888-2891 [doi]
- 0.5-V sub-ns open-BL SRAM array with mid-point-sensing multi-power 5T cellKiyoo Itoh, Khaja Ahmad Shaik, Amara Amara. 2892-2895 [doi]
- Analysis of radiation effect on the threshold voltage of flash memory deviceNahid M. Hossain, Jitendra Koppu, Masud H. Chowdhury. 2896-2899 [doi]
- Delay and power tradeoffs for static and dynamic register filesVinay Vashishtha, Aditya Gujja, Lawrence T. Clark. 2900-2903 [doi]
- A low power 6T-4C non-volatile memory using charge sharing and non-precharge techniquesTomoki Nakagawa, Shintaro Izumi, Koji Yanagida, Yuki Kitahara, Shusuke Yoshimoto, Yohei Umeki, Haruki Mori, Hiroto Kitahara, Hiroshi Kawaguchi, Hiromitsu Kimura, Kyoji Marumoto, Takaaki Fuchikami, Yoshikazu Fujimori, Masahiko Yoshimoto. 2904-2907 [doi]
- Parallel pipelining configurable multi-port memory controller for multimedia applicationsXuan-Thuan Nguyen, Hong-Thu Nguyen, Cong-Kha Pham. 2908-2911 [doi]
- Overview of carbon-based circuits and systemsSaul Rodriguez Duenas, Ana Rusu, Jose M. de la Rosa. 2912-2915 [doi]
- Graphene based GHz flexible nanoelectronics and radio receiver systems (Invited)Maruthi N. Yogeesh, Saungeun Park, Deji Akinwande. 2916-2919 [doi]
- Graphene FET evaluation for RF and mmWave circuit applicationsSébastien Fregonese, Jorgue Daniel Aguirre Morales, Magali De Matos, Cristell Maneux, Thomas Zimmer. 2920-2923 [doi]
- Time-based sensor interface circuits in carbon nanotube technologyGeorges G. E. Gielen, Jelle Van Rethy, Max M. Shulaker, Gage Hills, H.-S. Philip Wong, Subhasish Mitra. 2924-2927 [doi]
- Near-threshold CNTFET SRAM cell design with removed metallic CNT toleranceJosé G. Delgado-Frias, Zhe Zhang, Michael A. Turi. 2928-2931 [doi]
- 2-Phase high-frequency clock distribution with SPLIT-IO dual-Vt repeaters for suppressed leakage currentsHong Zhu, Volkan Kursun. 2932-2935 [doi]
- STT-RAM write energy consumption reduction by differential write termination methodHooman Farkhani, Ali Peiravi, Jens Kargaard Madsen, Farshad Moradi. 2936-2939 [doi]
- Improved bus-shift coding for low-power I/OMohammed Alamgir, Iftekhar Ibne Basith, Tareq Muhammad Supon, Rashid Rashidzadeh. 2940-2943 [doi]
- Reactive rejuvenation of CMOS logic paths using self-activating voltage domainsRizwan Ashraf, Ahmad Alzahrani, Navid Khoshavi, Ramtin Zand, Soheil Salehi, Arman Roohi, Mingjie Lin, Ronald F. DeMara. 2944-2947 [doi]
- A 0.19-V minimum input low energy level shifter for extremely low-voltage VLSIsRyo Matsuzuka, Tetsuya Hirose, Yuzuru Shizuku, Nobutaka Kuroki, Masahiro Numa. 2948-2951 [doi]
- Optimal design of composite digital filters using convex-concave procedureWu-Sheng Lu, Takao Hinamoto. 2952-2955 [doi]
- Optimal error feedback and realization for roundoff noise minimization in linear discrete-time systems with full-order state observer feedbackTakao Hinamoto, Akimitsu Doi, Wu-Sheng Lu. 2956-2959 [doi]
- Design of low complexity programmable FIR filters using multiplexers array optimizationWeiao Ding, Jiajia Chen. 2960-2963 [doi]
- Design of high-speed multiplierless linear-phase FIR filtersWen Bin Ye, Xin Lou, Ya Jun Yu. 2964-2967 [doi]
- IIR filter design with novel stability conditionAimin Jiang, Hon Keung Kwan, Xiaofeng Liu, Ning Xu, Yibin Tang, Yanping Zhu. 2968-2971 [doi]
- Hardware implementation of the SUMIS detector using high-level synthesisWerner Haselmayr, Georg Möstl, Stefan Seeber, Andreas Springer. 2972-2975 [doi]
- A customized lattice reduction multiprocessor for MIMO detectionShahriar Shahabuddin, Janne Janhunen, Zaheer Khan, Markku J. Juntti, Amanullah Ghazi. 2976-2979 [doi]
- Multi-mode sorted QR decomposition for 4×4 and 8×8 single-user/multi-user MIMO precodingChi-Mao Chen, Chih-Hsiang Lin, Pei-Yun Tsai. 2980-2983 [doi]
- A 350μW Sign-Bit architecture for multi-parameter estimation during OFDM acquisition in 65nm CMOSIsael Diaz, Siyu Tan, Yun Miao, Leif R. Wilhelmsson, Ove Edfors, Viktor Öwall. 2984-2987 [doi]
- A 8-mW 77-GHz band CMOS LNA by using reduced simultaneous noise and impedance matching techniqueChun-Lin Ko, Chun-Hsing Li, Chien-Nan Kuo, Ming-Ching Kuo, Da-Chiang Chang. 2988-2991 [doi]
- A 16-channel 24-V 1.8-mA power efficiency enhanced neural/muscular stimulator with exponentially decaying stimulation currentXu Liu, Lei Yao, Peng Li, Mei Yan, Shih-Cheng Yen, Hao Yu, Minkyu Je, Yong Ping Xu. 2992-2995 [doi]
- Design of a low-noise, high power efficiency neural recording front-end with an integrated real-time compressed sensing unitXilin Liu, Hongjie Zhu, Milin Zhang, Andrew G. Richardson, Timothy H. Lucas, Jan Van der Spiegel. 2996-2999 [doi]
- A novel neural recording system utilising continuous time energy based compressionKonstantinos Faliagkas, Lieuwe B. Leene, Timothy G. Constandinou. 3000-3003 [doi]
- A 128 channel 290 GMACs/W machine learning based co-processor for intention decoding in brain machine interfacesYi Chen, Enyi Yao, Arindam Basu. 3004-3007 [doi]
- Power optimization of neural frontend interfacesMajid Zamani, Andreas Demosthenous. 3008-3011 [doi]
- Subblock-level matching layout for analog block-pair and its manufacturability evaluationTakuya Hirata, Ryuta Nishino, Shigetoshi Nakatake, Masaya Shimoyama, Masashi Miyagawa, Koichi Tanno, Akihiro Yamada. 3012-3015 [doi]
- High-constancy offset generator robust to CDAC nonlinearity for SEIR-based ADC BISTYan Duan, Tao Chen, Zhiqiang Liu, Xu Zhang, Degang Chen. 3016-3019 [doi]
- Performance enhancement induced Trojan states in op-amps, their detection and removalChongli Cai, Degang Chen. 3020-3023 [doi]
- A calibration technique for SAR analog-to-digital converter based on INL testing with quantization bits and redundant bitXu Zhang, Chongli Cai, Hao Meng, Siva Sudani, Randall L. Geiger, Degang Chen. 3024-3027 [doi]
- A 10 Gbps eye opening monitor in 65nm CMOSSandeep Krishnan, Shanthi Pavan. 3028-3031 [doi]
- Pipelined implementations of polar encoder and feed-back part for SC polar decoderChuan Zhang, Junmei Yang, Xiaohu You, Shugong Xu. 3032-3035 [doi]
- Successive cancellation decoding of polar codes using stochastic computingBo Yuan, Keshab K. Parhi. 3040-3043 [doi]
- Latency-optimized stochastic LDPC decoder for high-throughput applicationsDi Wu, Yun Chen, Qichen Zhang, Li-Rong Zheng, Xiaoyang Zeng, Yeong-Luh Ueng. 3044-3047 [doi]
- A 0.6ps jitter 2-16 GHz 130nm CMOS frequency synthesizer for broadband applicationsYung-Chung Lo, Negar Rashidi, Yin-Huan Hwang, José Silva-Martínez. 3048-3051 [doi]
- Design of a digital harmonic-cancelling sine-wave synthesizer with 100 MHz output frequency, 43.5 dB SFDR, and 2.26 mW powerPasindu Aluthwala, Neil Weste, Andrew Adams, Torsten Lehmann, Sri Parameswaran. 3052-3055 [doi]
- Quadrature oscillator solution suitable with arbitrary and electronically adjustable phase shiftRoman Sotner, Jan Jerabek, Jiri Petrzela, Roman Prokop, Kamil Vrba, Aslihan Kartci, Tomás Dostál. 3056-3059 [doi]
- A 1.7-GHz wide-band CMOS LC-VCO with 7-Bit coarse controlCarlos Sanchez-Azqueta, Javier Aguirre, Cecilia Gimeno, Concepción Aldea, Santiago Celma. 3060-3063 [doi]
- A 2GHz direct digital frequency synthesizer based on multi-channel structureLing Yuan, Qiang Zhang, Yin Shi. 3064-3067 [doi]
- An efficient method for control of continuous-time systems subject to input saturation and external disturbanceYunliang Wei, Wei Xing Zheng. 3068-3071 [doi]
- Some results on design of second-order sliding mode controller for nonlinear systemsShihong Ding, Wei Xing Zheng. 3072-3075 [doi]
- A new approach to finite-time tracking of coupled continuous networksWenjun Xiong, Wei Xing Zheng. 3076-3079 [doi]
- Phase and amplitude dynamics of noisy oscillators described by Itô stochastic differential equationsMichele Bonnin, Fabio L. Traversa, Fernando Corinto, Fabrizio Bonani. 3080-3083 [doi]
- Cycle slipping in nonlinear circuits under periodic nonlinearities and time delaysVera Smirnova, Anton V. Proskurnikov, Natalia V. Utina. 3084-3087 [doi]
- Emerging resistive memories for low power embedded applications and neuromorphic systemsBarbara De Salvo, Elisa Vianello, Olivier Thomas, Fabien Clermidy, Olivier Bichler, Christian Gamrat, Luca Perniola. 3088-3091 [doi]