Journal: IEEE Trans. VLSI Syst.

Volume 22, Issue 9

1845 -- 1853Sheng Wei, Miodrag Potkonjak. Self-Consistency and Consistency-Based Detection and Diagnosis of Malicious Circuitry
1854 -- 1864Abhranil Maiti, Patrick Schaumont. The Impact of Aging on a Physical Unclonable Function
1865 -- 1878Hayssam El-Razouk, Arash Reyhani-Masoleh, Guang Gong. New Implementations of the WG Stream Cipher
1879 -- 1887Wei Wang, Xinming Huang, Niall Emmart, Charles C. Weems. VLSI Design of a Large-Number Multiplier for Fully Homomorphic Encryption
1888 -- 1897Li Li 0021, Yinghai Lu, Hai Zhou. Optimal and Efficient Algorithms for Multidomain Clock Skew Scheduling
1898 -- 1908Jatin N. Mistry, James Myers, Bashir M. Al-Hashimi, David Flynn, John Biggs, Geoff V. Merrett. Active Mode Subclock Power Gating
1909 -- 1919Borislav Alexandrov, Owen Sullivan, William J. Song, Sudhakar Yalamanchili, Satish Kumar, Saibal Mukhopadhyay. Control Principles and On-Chip Circuits for Active Cooling Using Integrated Superlattice-Based Thin-Film Thermoelectric Devices
1920 -- 1933Amir Zjajo, Nick van der Meijs, Rene van Leuken. Dynamic Thermal Estimation Methodology for High-Performance 3-D MPSoC
1934 -- 1944Jintae Kim, Siamak Modjtahedi, Chih-Kong Ken Yang. Flexible-Assignment Calibration Technique for Mismatch-Constrained Digital-to-Analog Converters
1945 -- 1953Craig R. Schlottmann, Jennifer Hasler. High-Level Modeling of Analog Computational Elements for Signal Processing Applications
1954 -- 1967Pingqiang Zhou, Ayan Paul, Chris H. Kim, Sachin S. Sapatnekar. Distributed On-Chip Switched-Capacitor DC-DC Converters Supporting DVFS in Multicore Systems
1968 -- 1979Dong Xiang, Wenjie Sui, Boxue Yin, Kwang-Ting Cheng. Compact Test Generation With an Influence Input Measure for Launch-On-Capture Transition Fault Testing
1980 -- 1989Ming-Hong Tsai, Wei-Sheng Ding, Hung-Yi Hsieh, James Chien-Mo Li. Transient IR-Drop Analysis for At-Speed Testing Using Representative Random Walk
1990 -- 2003Davide Rossi, Claudio Mucci, Matteo Pizzotti, Luca Perugini, Roberto Canegallo, Roberto Guerrieri. Multicore Signal Processing Platform With Heterogeneous Configurable Hardware Accelerators
2004 -- 2016Vinay Kumar Chippa, Debabrata Mohapatra, Kaushik Roy, Srimat T. Chakradhar, Anand Raghunathan. Scalable Effort Hardware Design
2017 -- 2024Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba. Reducing Cost of Yield Enhancement in 3-D Stacked Memories Via Asymmetric Layer Repair Capability
2025 -- 2029Sangwook Han, David D. Wentzloff. Characterization of the Proximity Effect From Tungsten TSVs on 130-nm CMOS Devices in 3-D ICs
2030 -- 2033Cheng-Shang Chang, Jay Cheng, Tien-Ke Huang, Duan-Shin Lee. Constructions of Memoryless Crosstalk Avoidance Codes Via ${\cal C}$ -Transform
2034 -- 2038Ing-Chao Lin, Kuan-Hui Li, Chia-Hao Lin, Kai-Chiang Wu. NBTI and Leakage Reduction Using ILP-Based Approach

Volume 22, Issue 8

1653 -- 1665Sparsh Mittal, Yanan Cao, Zhao Zhang. MASTER: A Multicore Cache Energy-Saving Technique Using Dynamic Cache Reconfiguration
1666 -- 1678Yi-Ping Su, Yean-Kuo Luo, Yi-Chun Chen, Ke-Horng Chen. Current-Mode Synthetic Control Technique for High-Efficiency DC-DC Boost Converters Over a Wide Load Range
1679 -- 1692Jing Chen, Tongquan Wei, Jianlin Liang. State-Aware Dynamic Frequency Selection Scheme for Energy-Harvesting Real-Time Systems
1693 -- 1704Hui Shao, Xing Li, Chi-Ying Tsui, Wing-Hung Ki. A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
1705 -- 1715Haroon Mahmood, Mirko Loghi, Massimo Poncino, Enrico Macii. Energy/Lifetime Cooptimization by Cache Partitioning With Graceful Performance Degradation
1716 -- 1726Sleiman Bou Sleiman, Mohammed Ismail. Dynamic Self-Regulated Charge Pump With Improved Immunity to PVT Variations
1727 -- 1737Chia-Hsiang Chen, David Blaauw, Dennis Sylvester, Zhengya Zhang. Design and Evaluation of Confidence-Driven Error-Resilient Systems
1738 -- 1749Dawen Xu, Huawei Li, Amirali Ghofrani, Kwang-Ting Cheng, Yinhe Han, Xiaowei Li 0001. Test-Quality Optimization for Variable $n$ -Detections of Transition Faults
1750 -- 1762Muhammad Muqarrab Bashir, Chang-Chih Chen, Linda Milor, Dae-Hyun Kim, Sung Kyu Lim. Backend Dielectric Reliability Full Chip Simulator
1763 -- 1776Moustafa Mohamed, Zheng Li, Xi Chen, Li Shang, Alan Rolf Mickelson. Reliability-Aware Design Flow for Silicon Photonics On-Chip Interconnect
1777 -- 1790Jih-Sheng Shen, Pao-Ann Hsiung. Reasoning and Learning-Based Dynamic Codec Reconfiguration for Varying Processing Requirements in Network-on-Chip
1791 -- 1802Chih-Hsiang Peng, Bo-Wei Chen, Ta-Wen Kuan, Po-Chuan Lin, Jhing-Fa Wang, Nai-Sheng Shih. REC-STA: Reconfigurable and Efficient Chip Design With SMO-Based Training Accelerator
1803 -- 1814Shuai Mu, Yangdong Deng, Yubei Chen, Huaiming Li, Jianming Pan, Wenjun Zhang, Zhihua Wang. Orchestrating Cache Management and Memory Scheduling for GPGPU Applications
1815 -- 1828Mahmoud Zangeneh, Ajay Joshi. Design and Optimization of Nonvolatile Multibit 1T1R Resistive RAM
1829 -- 1840Qing'an Li, Jianhua Li, Liang Shi, Mengying Zhao, Chun Jason Xue, Yanxiang He. Compiler-Assisted STT-RAM-Based Hybrid Cache for Energy Efficient Embedded Systems
1841 -- 1844Cristian Ravariu. Compact NOI Nanodevice Simulation

Volume 22, Issue 7

1461 -- 1469Yoshiro Riho, Kazuo Nakazato. Partial Access Mode: New Method for Reducing Power Consumption of Dynamic Random Access Memory
1470 -- 1480Ching-Che Chung, Hao-Hsiang Hsu. Partial Parity Cache and Data Cache Management Method to Improve the Performance of an SSD-Based RAID
1481 -- 1490Mohamed I. A. Mohamed, Karim Mohammed, Babak Daneshrad. Energy Efficient Programmable MIMO Decoder Accelerator Chip in 65-nm CMOS
1491 -- 1505Yiqun Wang, Yongpan Liu, Shuangchen Li, Xiao Sheng, Daming Zhang, Mei-Fang Chiang, Baiko Sai, Xiaobo Sharon Hu, Huazhong Yang. PaCC: A Parallel Compare and Compress Codec for Area Reduction in Nonvolatile Processors
1506 -- 1514Salvador Petit, Rafael Ubal, Julio Sahuquillo, Pedro López. Efficient Register Renaming and Recovery for High-Performance Processors
1515 -- 1525Chao-Tsung Huang, Mehul Tikekar, Anantha P. Chandrakasan. Memory-Hierarchical and Mode-Adaptive HEVC Intra Prediction Architecture for Quad Full HD Video Decoding
1526 -- 1538Chao-Lieh Chen, Chien-Hao Lai. Iterative Linear Interpolation Based on Fuzzy Gradient Model for Low-Cost VLSI Implementation
1539 -- 1547Hayun Chung, Gu-Yeon Wei. ADC-Based Backplane Receiver Design-Space Exploration
1548 -- 1556Kyoung-Rok Cho, Sang-Jin Lee, Omid Kavehei, Kamran Eshraghian. High Fill Factor Low-Voltage CMOS Image Sensor Based on Time-to-Threshold PWM VLSI Architecture
1557 -- 1569Salvador Barcelo, Xavier Gili, Sebastiàn A. Bota, Jaume Segura. Sensitization Input Vector Impact on Propagation Delay for Nanometer CMOS ICs: Analysis and Solutions
1570 -- 1582Irith Pomeranz. Low-Power Test Generation by Merging of Functional Broadside Test Cubes
1583 -- 1592Amirhossein Alimohammad, Saeed Fouladi Fard. FPGA-Based Bit Error Rate Performance Measurement of Wireless Systems
1593 -- 1605Elio Consoli, Gaetano Palumbo, Jan M. Rabaey, Massimo Alioto. Novel Class of Energy-Efficient Very High-Speed Conditional Push-Pull Pulsed Latches
1606 -- 1619Sumeet S. Kumar, Arnica Aggarwal, Radhika Sanjeev Jagtap, Amir Zjajo, Rene van Leuken. System Level Methodology for Interconnect Aware and Temperature Constrained Power Management of 3-D MP-SOCs
1620 -- 1624Taehui Na, Jisu Kim, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. An Offset-Canceling Triple-Stage Sensing Circuit for Deep Submicrometer STT-RAM
1625 -- 1629Ioannis Voyiatzis, Costas Efstathiou. Input Vector Monitoring Concurrent BIST Architecture Using SRAM Cells
1630 -- 1634Jisu Kim, Kyungho Ryu, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. STT-MRAM Sensing Circuit With Self-Body Biasing in Deep Submicron Technologies
1635 -- 1639Pedro Reviriego, Salvatore Pontarelli, Alfonso Sánchez-Macián, Juan Antonio Maestro. A Method to Extend Orthogonal Latin Square Codes
1639 -- 1644Feng Shi, Xuebin Wu, Zhiyuan Yan. Improved Analytical Delay Models for RC-Coupled Interconnects
1644 -- 1647Matheus Trevisan Moreira, Fernando Gehm Moraes, Ney Laert Vilar Calazans. Beware the Dynamic C-Element
1648 -- 1652Byeong Yong Kong, Jihyuck Jo, Hyewon Jeong, Mina Hwang, Soyoung Cha, Bongjin Kim, In-Cheol Park. Low-Complexity Low-Latency Architecture for Matching of Data Encoded With Hard Systematic Error-Correcting Codes

Volume 22, Issue 6

1209 -- 1218Alaa R. Al-Taee, Fei Yuan, Andy Gean Ye, Saman Sadr. New 2-D Eye-Opening Monitor for Gb/s Serial Links
1219 -- 1225Jinho Han, Hyosup Won, Hyeon-Min Bae. 0.6-2.7-Gb/s Referenceless Parallel CDR With a Stochastic Dispersion-Tolerant Frequency Acquisition Technique
1226 -- 1237Byoung-Joo Yoo, Woo-Rham Bae, Jiho Han, Jaeha Kim, Deog Kyoon Jeong. Linearization Technique for Binary Phase Detectors in a Collaborative Timing Recovery Circuit
1238 -- 1247Hao-Chiao Hong, Yung-Shun Chen, Wei-Chieh Fang. 14 GSps Four-Bit Noninterleaved Data Converter Pair in 90 nm CMOS With Built-In Eye Diagram Testability
1248 -- 1261Juan Antonio Clemente, Elena Perez Ramo, Javier Resano, Daniel Mozos, Francky Catthoor. Configuration Mapping Algorithms to Reduce Energy and Time Reconfiguration Overheads in Reconfigurable Systems
1262 -- 1269Hanwool Jeong, Younghwi Yang, Junha Lee, Jisu Kim, Seong-Ook Jung. One-Sided Static Noise Margin and Gaussian-Tail-Fitting Method for SRAM
1270 -- 1280Guiqiang Dong, Yangyang Pan, Tong Zhang 0002. Using Lifetime-Aware Progressive Programming to Improve SLC NAND Flash Memory Write Endurance
1281 -- 1293Zhenyu Sun, Xiuyuan Bi, Hai Li, Weng-Fai Wong, Xiaochun Zhu. STT-RAM Cache Hierarchy With Multiretention MTJ Designs
1294 -- 1307Chen-Wei Lin, Mango Chia-Tso Chao, Chih-Chieh Hsu. Novel Circuit-Level Model for Gate Oxide Short and its Testing Method in SRAMs
1308 -- 1313Chung-Hsun Huang, Ying-Ting Ma, Wei-Chen Liao. Design of a Low-Voltage Low-Dropout Regulator
1314 -- 1327Anandaroop Ghosh, Somnath Paul, Jongsun Park, Swarup Bhunia. Improving Energy Efficiency in FPGA Through Judicious Mapping of Computation to Embedded Memory Blocks
1328 -- 1337Rami A. Abdallah, Naresh R. Shanbhag. Reducing Energy at the Minimum Energy Operating Point Via Statistical Error Compensation
1338 -- 1349Inhak Han, Youngsoo Shin. Simplifying Clock Gating Logic by Matching Factored Forms
1350 -- 1363Chia-Chun Lin, Amlan Chakrabarti, Niraj K. Jha. FTQLS: Fault-Tolerant Quantum Logic Synthesis
1364 -- 1376Zhen Zhang, Dimitri Refauvelet, Alain Greiner, Mounir Benabdenbi, François Pêcheux. On-the-Field Test and Configuration Infrastructure for 2-D-Mesh NoCs in Shared-Memory Many-Core Architectures
1377 -- 1390Zhibin Xiao, Bevan M. Baas. Processor Tile Shapes and Interconnect Topologies for Dense On-Chip Networks
1391 -- 1403Anh Thien Tran, Bevan M. Baas. Achieving High-Performance On-Chip Networks With Shared-Buffer Routers
1404 -- 1415Shih-Ying Sean Liu, Ren-Guo Luo, Suradeth Aroonsantidecha, Ching-Yu Chin, Hung-Ming Chen. Fast Thermal Aware Placement With Accurate Thermal Analysis Based on Green Function
1416 -- 1428Shan Cao, Zhaolin Li, Fang Wang, Shaojun Wei. Compiler-Assisted Leakage- and Temperature- Aware Instruction-Level VLIW Scheduling
1429 -- 1440Mauro Olivieri, Antonio Mastrandrea. Logic Drivers: A Propagation Delay Modeling Paradigm for Statistical Simulation of Standard Cell Designs
1441 -- 1445Joung-Yeal Kim, Su-Jin Park, Kee-Won Kwon, Bai-Sun Kong, Joo-Sun Choi, Young-Hyun Jun. CMOS Charge Pump With No Reversion Loss and Enhanced Drivability
1446 -- 1450Yiorgos Sfikas, Yiorgos Tsiatouhas, Said Hamdioui. Layout-Based Refined NPSF Model for DRAM Characterization and Testing
1450 -- 1455Yuntan Fang, Huawei Li, Xiaowei Li 0001. Lifetime Enhancement Techniques for PCM-Based Image Buffer in Multimedia Applications
1455 -- 1459Francisco Garcia-Herrero, María José Canet, Javier Valls. Nonbinary LDPC Decoder Based on Simplified Enhanced Generalized Bit-Flipping Algorithm

Volume 22, Issue 5

957 -- 970Yuhao Wang, Hao Yu, Wei Zhang. Nonvolatile CBRAM-Crossbar-Based 3-D-Integrated Hybrid Memory for Data Retention
971 -- 982Mahmood Khayatzadeh, Yong Lian. Average-8T Differential-Sensing Subthreshold SRAM With Bit Interleaving and 1k Bits Per Bitline
983 -- 994Yansheng Wang, Leibo Liu, Shouyi Yin, Min Zhu, Peng Cao, Jun Yang, Shaojun Wei. On-Chip Memory Hierarchy in One Coarse-Grained Reconfigurable Architecture to Compress Memory Space and to Reduce Reconfiguration Time and Data-Reference Time
995 -- 1003Mehran Mozaffari Kermani, Reza Azarderakhsh, Chiou-Yng Lee, Siavash Bayat Sarmadi. m)
1004 -- 1015Jonghong Kim, Wonyong Sung. Rate-0.96 LDPC Decoding VLSI for Soft-Decision Error Correction of NAND Flash Memory
1016 -- 1029Xuehui Zhang, Mohammad Tehranipoor. Design of On-Chip Lightweight Sensors for Effective Detection of Recycled ICs
1030 -- 1041Shuo Wang, Mohammad Tehranipoor. Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise in Integrated Circuits
1042 -- 1053Itamar Levi, Alexander Belenky, Alexander Fish. Logical Effort for CMOS-Based Dual Mode Logic Gates
1054 -- 1059Yuan Li, Paul Chow, Jiang Jiang, Minxuan Zhang, Shaojun Wei. Software/Hardware Parallel Long-Period Random Number Generation Framework Based on the WELL Method
1060 -- 1068Min-Woo Lee, Ji-Hwan Yoon, Jongsun Park. Reconfigurable CORDIC-Based Low-Power DCT Architecture Based on Data Priority
1069 -- 1081Wen-Hsiang Chang, Mango Chia-Tso Chao, Shi-Hao Chen. Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad Layer
1082 -- 1095Xiaowen Wu, Yaoyao Ye, Jiang Xu, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang. UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors
1096 -- 1105Ching-Che Chung, Duo Sheng, Sung-En Shen. High-Resolution All-Digital Duty-Cycle Corrector in 65-nm CMOS Technology
1106 -- 1117Saket Gupta, Sachin S. Sapatnekar. Variation-Aware Variable Latency Design
1118 -- 1126Takashi Kawamoto, Masato Suzuki, Takayuki Noto. 1.9-ps Jitter, 10.0-dBm-EMI Reduction Spread-Spectrum Clock Generator With Autocalibration VCO Technique for Serial-ATA Application
1127 -- 1137Behzad Dehlaghi, Sebastian Magierowski, Leonid Belostotski. A 12.5-Gb/s On-Chip Oscilloscope to Measure Eye Diagrams and Jitter Histograms of High-Speed Signals
1138 -- 1149Tseng-Chin Luo, Mango Chia-Tso Chao, Huan-Chi Tseng, Masaharu Goto, Philip A. Fisher, Yuan-Yao Chang, Chi-Min Chang, Takayuki Takao, Katsuhito Iwasaki, Cheng Mao Lee. Fast Transistor Threshold Voltage Measurement Method for High-Speed, High-Accuracy Advanced Process Characterization
1150 -- 1163Chun-Yi Lee, Niraj K. Jha. FinCANON: A PVT-Aware Integrated Delay and Power Modeling Framework for FinFET-Based Caches and On-Chip Networks
1164 -- 1169Tien-Yu Lo, Chi-Hsiang Lo. 1-V 365-µW 2.5-MHz Channel Selection Filter for 3G Wireless Receiver in 55-nm CMOS
1170 -- 1174Minoo Mirsaeedi, Andres J. Torres, Mohab H. Anis. Litho-Friendly Decomposition Method for Self-Aligned Triple Patterning
1174 -- 1179Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo. Area-Delay Efficient Binary Adders in QCA
1179 -- 1182Kejie Huang, Ning Ning, Yong Lian. Optimization Scheme to Minimize Reference Resistance Distribution of Spin-Transfer-Torque MRAM
1183 -- 1187Youngjoo Lee, Hoyoung Yoo, Injae Yoo, In-Cheol Park. High-Throughput and Low-Complexity BCH Decoding Architecture for Solid-State Drives
1187 -- 1191Daejin Park, Tag Gon Kim. Built-In Binary Code Inversion Technique for On-Chip Flash Memory Sense Amplifier With Reduced Read Current Consumption
1192 -- 1196Jason M. Allred, Sanghamitra Roy, Koushik Chakraborty. Dark Silicon Aware Multicore Systems: Employing Design Automation With Architectural Insight
1197 -- 1201Junwhan Ahn, Kiyoung Choi. LASIC: Loop-Aware Sleepy Instruction Caches Based on STT-RAM Technology
1202 -- 1206Sumit Jagdish Darak, Smitha Kavallur Pisharath Gopi, Achutavarrier Prasad Vinod, Edmund Ming-Kit Lai. Low-Complexity Reconfigurable Fast Filter Bank for Multi-Standard Wireless Receivers

Volume 22, Issue 4

701 -- 711Hyun-Woo Lee, Chulwoo Kim. Survey and Analysis of Delay-Locked Loops Used in DRAM Interfaces
712 -- 720Kon-Woo Kwon, Sri Harsha Choday, Yusung Kim, Kaushik Roy. AWARE (Asymmetric Write Architecture With REdundant Blocks): A High Write Speed STT-MRAM Cache Architecture
721 -- 733Hong-Ting Lin, Yi-Lin Chuang, Zong-Han Yang, Tsung-Yi Ho. Pulsed-Latch Utilization for Clock-Tree Power Optimization
734 -- 746Mingjing Chen, Alex Orailoglu. Examining Timing Path Robustness Under Wide-Bandwidth Power Supply Noise Through Multi-Functional-Cycle Delay Test
747 -- 758Abhishek A. Sinkar, Hamid Reza Ghasemi, Michael J. Schulte, Ulya R. Karpuzcu, Nam Sung Kim. Low-Cost Per-Core Voltage Domain Support for Power-Constrained High-Performance Processors
759 -- 770Xiaoxiao Zhang, Farid Boussaïd, Amine Bermak. 32 Bit ×32 Bit Multiprecision Razor-Based Dynamic Voltage Scaling Multiplier With Operands Scheduler
771 -- 778Shmuel Wimer, Israel Koren. Design Flow for Flip-Flop Grouping in Data-Driven Clock Gating
779 -- 791Irith Pomeranz. Restoration-Based Procedures With Set Covering Heuristics for Static Test Compaction of Functional Test Sequences
792 -- 802Irith Pomeranz. Test Compaction by Sharing of Transparent-Scan Sequences Among Logic Blocks
803 -- 812Hyoyoung Shin, Youngkyu Park, Gihwa Lee, Jungsik Park, Sungho Kang. Interleaving Test Algorithm for Subthreshold Leakage-Current Defects in DRAM Considering the Equal Bit Line Stress
813 -- 823Davide Sabena, Matteo Sonza Reorda, Luca Sterpone. On the Automatic Generation of Optimized Software-Based Self-Test Programs for VLIW Processors
824 -- 837Jing Ye, Yu Hu, Xiaowei Li 0001, Wu-Tung Cheng, Yu Huang, Huaxing Tang. Diagnose Failures Caused by Multiple Locations at a Time
838 -- 849Pankaj Golani, Peter A. Beerel. Area-Efficient Asynchronous Multilevel Single-Track Pipeline Template
850 -- 863Eddie Hung, Steven J. E. Wilton. Incremental Trace-Buffer Insertion for FPGA Debug
864 -- 877Jason Cong, Bingjun Xiao. FPGA-RPI: A Novel FPGA Architecture With RRAM-Based Programmable Interconnects
878 -- 887Cory E. Merkel, Dhireesha Kudithipudi. Temperature Sensing RRAM Architecture for 3-D ICs
888 -- 898Syed Ahmed Aamir, Pavel Angelov, J. Jacob Wikner. 1.2-V Analog Interface for a 300-MSps HD Video Digitizer in Core 65-nm CMOS
899 -- 912Jianlei Yang, Yici Cai, Qiang Zhou, Jin Shi. Friendly Fast Poisson Solver Preconditioning Technique for Power Grid Analysis
913 -- 921Guoyu Wang, Hongsheng Zhang, Mingying Lu, Chao Zhang, Tao Jiang, Guangyu Guo. Low-Cost Low-Power ASIC Solution for Both DAB+ and DAB Audio Decoding
922 -- 931Safar Hatami, Mohamed Helaoui, Fadhel M. Ghannouchi, Massoud Pedram. Single-Bit Pseudoparallel Processing Low-Oversampling Delta-Sigma Modulator Suitable for SDR Wireless Transmitters
932 -- 937Oghenekarho Okobiah, Saraju P. Mohanty, Elias Kougianos. Fast Design Optimization Through Simple Kriging Metamodeling: A Sense Amplifier Case Study
938 -- 942Shih-Hung Weng, Yulei Zhang, James F. Buckwalter, Chung-Kuan Cheng. Energy Efficiency Optimization Through Codesign of the Transmitter and Receiver in High-Speed On-Chip Interconnects
943 -- 947Jesús Aguado Ruiz, Antonio J. López-Martín, Javier López-Lemus, Jaime Ramírez-Angulo. Power Efficient Class AB Op-Amps With High and Symmetrical Slew Rate
947 -- 951Jean DaRolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre. Thwarting Scan-Based Attacks on Secure-ICs With On-Chip Comparison
951 -- 956Kaiming Nie, SuYing Yao, Jiangtao Xu, Jing Gao. Thirty Two-Stage CMOS TDI Image Sensor With On-Chip Analog Accumulator

Volume 22, Issue 3

449 -- 462Peng Li, David J. Lilja, Weikang Qian, Kia Bazargan, Marc D. Riedel. Computation on Stochastic Bit Streams Digital Image Processing Case Studies
463 -- 474Yuan-Ho Chen, Jyun-Neng Chen, Tsin-Yuan Chang, Chih-Wen Lu. High-Throughput Multistandard Transform Core Supporting MPEG/H.264/VC-1 Using Common Sharing Distributed Arithmetic
475 -- 483Min-Sheng Kao, Fanta Chen, Yu-Hao Hsu, Jen-Ming Wu. 20-Gb/s CMOS EA/MZ Modulator Driver With Intrinsic Parasitic Feedback Network
484 -- 496Chin-Yao Chang, Kuen-Jong Lee. On Deadlock Problem of On-Chip Buses Supporting Out-of-Order Transactions
497 -- 506Hari Chauhan, Yongsuk Choi, Marvin Onabajo, In-Seok Jung, Yong-Bin Kim. Accurate and Efficient On-Chip Spectral Analysis for Built-In Testing and Calibration Approaches
507 -- 515Yu Liu, Kaijie Wu. Fault-Duration And-Location Aware CED Technique With Runtime Adaptability
516 -- 521Samah Mohamed Saeed, Ozgur Sinanoglu. Design for Testability Support for Launch and Capture Power Reduction in Launch-Off-Shift and Launch-Off-Capture Testing
522 -- 536Server Kasap, Soydan Redif. Novel Field-Programmable Gate Array Architecture for Computing the Eigenvalue Decomposition of Para-Hermitian Polynomial Matrices
537 -- 547Mariangela Genovese, Ettore Napoli. ASIC and FPGA Implementation of the Gaussian Mixture Model Algorithm for Real-Time Segmentation of High Definition Video
548 -- 561Ajay N. Bhoj, Niraj K. Jha. Parasitics-Aware Design of Symmetric and Asymmetric Gate-Workfunction FinFET SRAMs
562 -- 574Eero Lehtonen, Jussi H. Poikonen, Mika Laiho, Pentti Kanerva. Large-Scale Memristive Associative Memories
575 -- 584Yukiko Umemoto, Koji Nii, Jiro Ishikawa, Makoto Yabuuchi, Kazuyoshi Okamoto, Yasumasa Tsukamoto, Shinji Tanaka, Koji Tanaka, Tetsuya Matsumura, Kazutaka Mori, Kazumasa Yanagisawa. 28 nm 50% Power-Reducing Contacted Mask Read Only Memory Macro With 0.72-ns Read Access Time Using 2T Pair Bitcell and Dynamic Column Source Bias Control Technique
585 -- 592Jong-Kwan Woo, Hyunjoong Lee, Hwi-Cheol Kim, Deog Kyoon Jeong, Suhwan Kim. 1.2 V 10-bit 75 MS/s Pipelined ADC With Phase-Dependent Gain-Transition CDS
593 -- 606Mehdi Saberi, Reza Lotfi. Segmented Architecture for Successive Approximation Analog-to-Digital Converters
607 -- 620Xiang Hu, Peng Du, Shih-Hung Weng, Chung-Kuan Cheng. Worst Case Noise Prediction With Nonzero Current Transition Times for Power Grid Planning
621 -- 630Chao-Wen Tzeng, Shi-Yu Huang, Pei-Ying Chao. Parameterized All-Digital PLL Architecture and its Compiler to Support Easy Process Migration
631 -- 640Adrien Le Masle, Wayne Luk. Mapping Loop Structures Onto Parametrized Hardware Pipelines
641 -- 654Jean-Michel Chabloz, Ahmed Hemani. Low-Latency Maximal-Throughput Communication Interfaces for Rationally Related Clock Domains
655 -- 666Xiaodong Liu, Gary K. Yeap, Jun Tao, Xuan Zeng. Integrated Algorithm for 3-D IC Through-Silicon Via Assignment
667 -- 674Chun-Yi Kuo, Chi-Jih Shih, Yi-Chang Lu, James Chien-Mo Li, Krishnendu Chakrabarty. Testing of TSV-Induced Small Delay Faults for 3-D Integrated Circuits
675 -- 685Nima Jafarzadeh, Maurizio Palesi, Ahmad Khademzadeh, Ali Afzali-Kusha. Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip
686 -- 690Shunji Nakata, Hiroki Hanazono, Hiroshi Makino, Hiroki Morimura, Masayuki Miyama, Yoshio Matsuda. Increase in Read Noise Margin of Single-Bit-Line SRAM Using Adiabatic Change of Word Line Voltage
691 -- 695Palkesh Jain, Frank Cano, Bapana Pudi, N. V. Arvind. Asymmetric Aging: Introduction and Solution for Power-Managed Mixed-Signal SoCs
696 -- 700Subhadip Kundu, Aniket Jha, Santanu Chattopadhyay, Indranil Sengupta, Rohit Kapur. Framework for Multiple-Fault Diagnosis Based on Multiple Fault Simulation Using Particle Swarm Optimization

Volume 22, Issue 2

197 -- 206S. Saqib Khursheed, Kan Shi, Bashir M. Al-Hashimi, Peter R. Wilson, Krishnendu Chakrabarty. Delay Test for Diagnosis of Power Switches
207 -- 219Yen-Lin Peng, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu. Application-Independent Testing of 3-D Field Programmable Gate Array Interconnect Faults
220 -- 231Mohamed Tagelsir Mohammadat, Noohul Basheer Zain Ali, Fawnizu Azmadi Hussin, Mark Zwolinski. Multivoltage Aware Resistive Open Fault Model
232 -- 241Kashfia Haque, Paul Beckett. Radiation-Hard Field-Programmable Gate Arrays Configuration Technique Using Silicon on Sapphire
242 -- 255Cedric Killian, Camel Tanougast, Fabrice Monteiro, Abbas Dandache. Smart Reliable Network-on-Chip
256 -- 269Keheng Huang, Yu Hu, Xiaowei Li 0001. Reliability-Oriented Placement and Routing Algorithm for SRAM-Based FPGAs
270 -- 279Yufu Zhang, Bing Shi, Ankur Srivastava. Statistical Framework for Designing On-Chip Thermal Sensing Infrastructure in Nanoscale Systems
280 -- 285Vinicius V. A. Camargo, Ben Kaczer, Gilson I. Wirth, Tibor Grasser, Guido Groeseneken. Use of SSTA Tools for Evaluating BTI Impact on Combinational Circuits
286 -- 299Alan Kennedy, Xiaojun Wang. Ultra-High Throughput Low-Power Packet Classification
300 -- 312Pradip Kumar Sahu, Tapan Shah, Kanchan Manna, Santanu Chattopadhyay. Application Mapping Onto Mesh-Based Network-on-Chip Using Discrete Particle Swarm Optimization
313 -- 321Cedric Walravens, Wim Dehaene. Low-Power Digital Signal Processor Architecture for Wireless Sensor Nodes
322 -- 333Behzad Zeinali, Tohid Moosazadeh, Mohammad Yavari, Ángel Rodríguez-Vázquez. Equalization-Based Digital Background Calibration Technique for Pipelined ADCs
334 -- 342Rajath Vasudevamurthy, Pratap Kumar Das, Bharadwaj Amrutur. Time-Based All-Digital Technique for Analog Built-in Self-Test
343 -- 352Samaneh Babayan Mashhadi, Reza Lotfi. Analysis and Design of a Low-Voltage Low-Power Double-Tail Comparator
353 -- 361Shubha Ramakrishnan, Jennifer Hasler. Vector-Matrix Multiply and Winner-Take-All as an Analog Classifier
362 -- 371Pramod Kumar Meher, Sang Yoon Park. Area-Delay-Power Efficient Fixed-Point LMS Adaptive Filter With Low Adaptation-Delay
372 -- 383Yan Zhu, Chi-Hang Chan, U. Fat Chio, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins, Franco Maloberti. Split-SAR ADCs: Improved Linearity With Power and Speed Optimization
384 -- 395Xuanyao Fong, Yusung Kim, Sri Harsha Choday, Kaushik Roy. Failure Mitigation Techniques for 1T-1MTJ Spin-Transfer Torque MRAM Bit-cells
396 -- 407Jianwei Dai, Menglong Guan, Lei Wang 0003. Exploiting Early Tag Access for Reducing L1 Data Cache Energy in Embedded Processors
408 -- 419Ghaith Tarawneh, Alex Yakovlev, Terrence S. T. Mak. Eliminating Synchronization Latency Using Sequenced Latching
420 -- 424Wan-Rone Liou, Mei-Ling Yeh, Ping-Shin Chen, Chun-Chang Tseng, Tang-Yu Huang, Shu-Chia Lin, Cheng-Yu Lin, Chih-Hsiang Sun. Monolithic Low-EMI CMOS DC-DC Boost Converter for Portable Applications
425 -- 429Taehui Na, Seung-Han Woo, Jisu Kim, Hanwool Jeong, Seong-Ook Jung. Comparative Study of Various Latch-Type Sense Amplifiers
430 -- 433Shubha Ramakrishnan, Arindam Basu, Leung Kin Chiu, Jennifer Hasler, David V. Anderson, Stephen Brink. Speech Processing on a Reconfigurable Analog Platform
434 -- 438Cheng-Jyun Li, Tai-Cheng Lee. 2.4-GHz High-Efficiency Adaptive Power
438 -- 443Ahmad Atghiaee, Nasser Masoumi, Payman Zarkesh-Ha, Milad Mehri. Predictive Application of PIDF and PPC for Interconnects' Crosstalk, TSV, and LER Issues in UDSM ICs and Nano-Systems
443 -- 447Cosmin Popa. Improved Accuracy Current-Mode Multiplier Circuits With Applications in Analog Signal Processing

Volume 22, Issue 12

2449 -- 2461Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia. A Variation-Aware Preferential Design Approach for Memory-Based Reconfigurable Computing
2462 -- 2475Yang Yang, Niraj K. Jha. FinPrin: FinFET Logic Circuit Analysis and Optimization Under PVT Variations
2476 -- 2487Xing Hu, Guihai Yan, Yu Hu, Xiaowei Li 0001. Orchestrator: Guarding Against Voltage Emergencies in Multithreaded Applications
2488 -- 2498David Esseni, Manuel Guglielmini, Bernard Kapidani, Tommaso Rollo, Massimo Alioto. Tunnel FETs for Ultralow Voltage Digital VLSI Circuits: Part I - Device-Circuit Interaction and Evaluation at Device Level
2499 -- 2512Massimo Alioto, David Esseni. Tunnel FETs for Ultra-Low Voltage Digital VLSI Circuits: Part II-Evaluation at Circuit Level and Design Perspectives
2513 -- 2526Hamed Tabkhi, Gunar Schirner. Application-Guided Power Gating Reducing Register File Static Power
2527 -- 2534Inna Vaisband, Mahmood J. Azhar, Eby G. Friedman, Selçuk Köse. Digitally Controlled Pulse Width Modulator for On-Chip Power Management
2535 -- 2548Bishnu Prasad Das, Hidetoshi Onodera. Frequency-Independent Warning Detection Sequential for Dynamic Voltage and Frequency Scaling in ASICs
2549 -- 2560Zia Abbas, Antonio Mastrandrea, Mauro Olivieri. A Voltage-Based Leakage Current Calculation Scheme and its Application to Nanoscale MOSFET and FinFET Standard-Cell Designs
2561 -- 2570François Botman, David Bol, Jean-Didier Legat, Kaushik Roy. Data-Dependent Operation Speed-Up Through Automatically Inserted Signal Transition Detectors for Ultralow Voltage Logic Circuits
2571 -- 2584Kedar Karmarkar, Spyros Tragoudas. Error Correction Encoding for Tightly Coupled On-Chip Buses
2585 -- 2595Gursharan Reehal, Mohammed Ismail. A Systematic Design Methodology for Low-Power NoCs
2596 -- 2606Amir Reza Baghban Behrouzian, Nasser Masoumi. Analytical Solutions for Distributed Interconnect Models - Part I: Step Input Response of Finite and Semi-Infinite Lines
2607 -- 2620Ting-Jung Lin, Wei Zhang, Niraj K. Jha. A Fine-Grain Dynamically Reconfigurable Architecture Aimed at Reducing the FPGA-ASIC Gaps
2621 -- 2628Daniel Neil, Shih-Chii Liu. Minitaur, an Event-Driven FPGA-Based Spiking Network Accelerator
2629 -- 2634Jianfeng Zhu, Liyang Pan, Yaru Yan, Dong Wu, Hu He. A Fast Application-Based Supply Voltage Optimization Method for Dual Voltage FPGA
2635 -- 2648Leibo Liu, Dong Wang, Shouyi Yin, Yingjie Chen, Min Zhu, Shaojun Wei. SimRPU: A Simulation Environment for Reconfigurable Architecture Exploration
2649 -- 2660Jun Lin, Zhiyuan Yan. An Efficient Fully Parallel Decoder Architecture for Nonbinary LDPC Codes
2661 -- 2674Hamad Alrimeih, Daler N. Rakhmatov. Fast and Flexible Hardware Support for ECC Over Multiple Standard Prime Fields
2675 -- 2688Chun-Fu Liao, Jhong-Yu Wang, Yuan-Hao Huang. A 3.1 Gb/s 8 × 8 Sorting Reduced K-Best Detector With Lattice Reduction and QR Decomposition
2689 -- 2700Li-Wei Chai, Po-Lin Chiu, Yuan-Hao Huang. A 2-D Interpolation-Based QRD Processor With Partial Layer Mapping for MIMO-OFDM Systems
2701 -- 2712Ojas A. Bapat, Paul D. Franzon, Richard M. Fastow. A Generic and Scalable Architecture for a Large Acoustic Model and Large Vocabulary Speech Recognition Accelerator Using Logic on Memory
2713 -- 2723Douglas Paul, Ramachandra Achar, Michel S. Nakhla, Natalie Nakhla. Addressing Partitioning Issues in Parallel Circuit Simulation
2724 -- 2737Scott Koziol, Stephen Brink, Jennifer Hasler. A Neuromorphic Approach to Path Planning Using a Reconfigurable Neuron Array IC
2738 -- 2751Jianxin Fang, Sachin S. Sapatnekar. Incorporating Hot-Carrier Injection Effects Into Timing Analysis for Large Circuits
2752 -- 2765Yanzhi Wang, Xue Lin, Younghyun Kim, Qing Xie, Massoud Pedram, Naehyuck Chang. Single-Source, Single-Destination Charge Migration in Hybrid Electrical Energy Storage Systems
2766 -- 2778Wei-Hen Lo, Ang-Chih Hsieh, Chien-Ming Lan, Min-Hsien Lin, TingTing Hwang. Utilizing Circuit Structure for Scan Chain Diagnosis
2779 -- 2792Liang Shi, Jianhua Li, Qing'an Li, Chun Jason Xue, Chengmo Yang, Xuehai Zhou. A Unified Write Buffer Cache Management Scheme for Flash Memory

Volume 22, Issue 11

2245 -- 2255Renfeng Dou, Jun Han, Yifan Bo, Zhiyi Yu, Xiaoyang Zeng. An Efficient Implementation of Montgomery Multiplication on Multicore Platform With Optimized Algorithm, Task Partitioning, and Network Architecture
2256 -- 2267Francisco Garcia-Herrero, Erbao Li, David Declercq, Javier Valls. Multiple-Vote Symbol-Flipping Decoder for Nonbinary LDPC Codes
2268 -- 2277Yuan-Ho Chen, Ruei-Yuan Jou, Tsin-Yuan Chang, Chih-Wen Lu. A High-Throughput and Area-Efficient Video Transform Core With a Time Division Strategy
2278 -- 2286Mozammel H. A. Khan. Design of Reversible Synchronous Sequential Circuits Using Pseudo Reed-Muller Expressions
2287 -- 2296Michael Lueders, Björn Eversmann, Johannes Gerber, Korbinian Huber, Rüdiger Kuhn, Michael Zwerg, Doris Schmitt-Landsiedel, Ralf Brederlow. Architectural and Circuit Design Techniques for Power Management of Ultra-Low-Power MCU Systems
2297 -- 2306Sau Siong Chong, Pak Kwong Chan. A Sub-1 V Transient-Enhanced Output-Capacitorless LDO Regulator With Push-Pull Composite Power Transistor
2307 -- 2315Stephen Brink, Jennifer Hasler, Richard B. Wunderlich. Adaptive Floating-Gate Circuit Enabled Large-Scale FPAA
2316 -- 2325Yongsheng Xu, Leonid Belostotski, James W. Haslett. A 65-nm CMOS 10-GS/s 4-bit Background-Calibrated Noninterleaved Flash ADC for Radio Astronomy
2326 -- 2335Joao Azevedo, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard, Aida Todri-Sanial, Jérémy Alvarez-Herault, Ken Mackay. A Complete Resistive-Open Defect Analysis for Thermally Assisted Switching MRAMs
2336 -- 2349Wooheon Kang, HyungJun Cho, Joohwan Lee, Sungho Kang. A BIRA for Memories With an Optimal Repair Rate Using Spare Memories for Area Reduction
2350 -- 2356Kedar Janardan Dhori, Vinay Kumar, Harsh Rawat. Write Assist Circuit to Cater Reliability and Floating Bit Line Problem of Negative Bit Line Assist Technique for Single or Multiport Static Random Access Memory
2357 -- 2365Zhuo Feng. Fast RC Reduction of Flip-Chip Power Grids Using Geometric Templates
2366 -- 2379Amirkoushyar Ziabari, Je-Hyoung Park, Ehsan K. Ardestani, Jose Renau, Sung-Mo Kang, Ali Shakouri. Power Blurring: Fast Static and Transient Thermal Analysis Method for Packaged Integrated Circuits and Power Devices
2380 -- 2387Hyungsu Sung, Keewon Cho, Kunsang Yoon, Sungho Kang. A Delay Test Architecture for TSV With Resistive Open Defects in 3-D Stacked Memories
2388 -- 2401Chun-Chuan Chi, Erik Jan Marinissen, Sandeep Kumar Goel, Cheng-Wen Wu. Low-Cost Post-Bond Testing of 3-D ICs Containing a Passive Silicon Interposer Base
2402 -- 2410Yi Wang, Zili Shao, Henry C. B. Chan, Luis Angel D. Bathen, Nikil D. Dutt. A Reliability Enhanced Address Mapping Strategy for Three-Dimensional (3-D) NAND Flash Memory
2411 -- 2417Chun Zhang, Dian Ma, Changzhi Li, Yiyu Shi. Runtime Self-Calibrated Temperature-Stress Cosensor for 3-D Integrated Circuits
2418 -- 2422Muh-Tian Shiue, Syu-Siang Long, Chin-Kuo Jao, Shih-Kun Lin. Design and Implementation of Power-Efficient K-Best MIMO Detector for Configurable Antennas
2422 -- 2426Qing Shang, Yibo Fan, Weiwei Shen, Sha Shen, Xiaoyang Zeng. Single-Port SRAM-Based Transpose Memory With Diagonal Data Mapping for Large Size 2-D DCT/IDCT
2427 -- 2431Irith Pomeranz. Low-Power Diagnostic Test Sets for Transition Faults Based on Functional Broadside Tests
2431 -- 2435Hazem M. Hajj, Wassim El-Hajj, Mehiar Dabbagh, Tawfik R. Arabi. An Algorithm-Centric Energy-Aware Design Methodology
2436 -- 2440Leandro Fiorin, Gianluca Palermo, Cristina Silvano. A Configurable Monitoring Infrastructure for NoC-Based Architectures
2440 -- 2445Karama Mohammed Al-Tamimi, Munir A. Al-Absi. A 6.13 $\mu{\rm W}$ and 96 dB CMOS Exponential Generator

Volume 22, Issue 10

2041 -- 2053Sean Keller, David Money Harris, Alain J. Martin. A Compact Transregional Model for Digital CMOS Circuits Operating Near Threshold
2054 -- 2066Shahar Kvatinsky, Guy Satat, Nimrod Wald, Eby G. Friedman, Avinoam Kolodny, Uri C. Weiser. Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies
2067 -- 2080Henry Wong, Vaughn Betz, Jonathan Rose. Quantifying the Gap Between FPGA and Custom CMOS to Aid Microarchitectural Design
2081 -- 2092Yury Audzevich, Philip M. Watts, Andrew West, Alan Mujumdar, Simon W. Moore, Andrew W. Moore. Power Optimized Transceivers for Future Switched Networks
2093 -- 2102Kyongsu Lee, Jae-Yoon Sim. Half-Rate Clock-Embedded Source Synchronous Transceivers in 130-nm CMOS
2103 -- 2116Jianlei Yang, Zuowei Li, Yici Cai, Qiang Zhou. PowerRush: An Efficient Simulator for Static Power Grid Analysis
2117 -- 2130Tuck Boon Chan, Puneet Gupta, Andrew B. Kahng, Liangzhen Lai. Synthesis and Analysis of Design-Dependent Ring Oscillator (DDRO) Performance Monitors
2131 -- 2144Aida Todri-Sanial, Sandip Kundu, Patrick Girard, Alberto Bosio, Luigi Dilillo, Arnaud Virazel. Globally Constrained Locally Optimized 3-D Power Delivery Networks
2145 -- 2155Krit Athikulwongse, Mongkol Ekpanyapong, Sung Kyu Lim. Exploiting Die-to-Die Thermal Coupling in 3-D IC Placement
2156 -- 2163Kyeong-Min Kim, Sewook Hwang, Junyoung Song, Chulwoo Kim. An 11.2-Gb/s LVDS Receiver With a Wide Input Range Comparator
2164 -- 2175Behrooz Javid, Payam Heydari. Design and Implementation of a CMOS 4-Bit 12-GS/s Data Acquisition System-On-Chip
2176 -- 2180Mohammad Gholami, Gholamreza Ardeshir. Jitter of Delay-Locked Loops Due to PFD
2181 -- 2191Young-sub Yuk, Seungchul Jung, Chul Kim, Hui-Dong Gwon, Sukhwan Choi, Gyu-Hyeong Cho. PSR Enhancement Through Super Gain Boosting and Differential Feed-Forward Noise Cancellation in a 65-nm CMOS LDO Regulator
2192 -- 2205Yi-Ping Su, Wei-Chung Chen, Yu-Ping Huang, Yu-Huei Lee, Ke-Horng Chen, Hsin-Yu Luo. Pseudo-Ramp Current Balance (PRCB) Technique With Offset Cancellation Control (OCC) in Dual-Phase DC-DC Buck Converter
2206 -- 2210Alexei Jolondz, Shlomo Weiss, Amit Golander. L1-L2 Interconnect Design Methodology and Arbitration in 3-D IC Multicore Compute Clusters
2211 -- 2215Bojan Maric, Jaume Abella, Mateo Valero. Analyzing the Efficiency of L1 Caches for Reliable Hybrid-Voltage Operation Using EDC Codes
2216 -- 2220Chung-Yi Li, Chung-Len Lee, Ming-Hong Hu, Hwai-Pwu Chou. A Fast Locking-in and Low Jitter PLLWith a Process-Immune Locking-in Monitor
2220 -- 2224Howard Tang, Zhuochao Sun, Kin Wai Roy Chew, Liter Siek. A 5.8 nW 9.1-ENOB 1-kS/s Local Asynchronous Successive Approximation Register ADC for Implantable Medical Device
2225 -- 2228Zhe Feng 0002, Naifeng Jing, Lei He. IPF: In-Place X-Filling Algorithm for the Reliability of Modern FPGAs
2229 -- 2233Shaoteng Liu, Axel Jantsch, Zhonghai Lu. A Fair and Maximal Allocator for Single-Cycle On-Chip Homogeneous Resource Allocation
2233 -- 2237C. K. Midhun, Jephy Joy, R. K. Kavitha. High-Speed Dynamic Asynchronous Pipeline: Self-Precharging Style
2238 -- 2242Juan Núñez, Maria J. Avedillo, José M. Quintana. Experimental Validation of a Two-Phase Clock Scheme for Fine-Grained Pipelined Circuits Based on Monostable to Bistable Logic Elements

Volume 22, Issue 1

1 -- 12Mustansir Yunus Mukadam, Oscar da Costa Gouveia-Filho, Nicholas Kramer, Xuan Zhang, Alyssa B. Apsel. Low-Power, Minimally Invasive Process Compensation Technique for Sub-Micron CMOS Amplifiers
13 -- 26Zhaobo Zhang, Xrysovalantis Kavousianos, Krishnendu Chakrabarty, Yiorgos Tsiatouhas. Static Power Reduction Using Variation-Tolerant and Reconfigurable Multi-Mode Power Switches
27 -- 38Henry Park, Chih-Kong Ken Yang. Stability Estimation of a 6T-SRAM Cell Using a Nonlinear Regression
39 -- 48Babak Zamanlooy, Mitra Mirhassani. Efficient VLSI Implementation of Neural Networks With Hyperbolic Tangent Activation Function
49 -- 61Jen-Wei Lee, Szu-Chi Chung, Hsie-Chia Chang, Chen-Yi Lee. Efficient Power-Analysis-Resistant Dual-Field Elliptic Curve Cryptographic Processor Using Heterogeneous Dual-Processing-Element Architecture
62 -- 75Theo Kluter, Philip Brisk, Edoardo Charbon, Paolo Ienne. Way Stealing: A Unified Data Cache and Architecturally Visible Storage for Instruction Set Extensions
76 -- 89Gang He, Dajiang Zhou, Wei Fei, Zhixiang Chen, Jinjia Zhou, Satoshi Goto. High-Performance H.264/AVC Intra-Prediction Architecture for Ultra High Definition Video Applications
90 -- 98Jayita Das, Syed M. Alam, Sanjukta Bhanja. Nano Magnetic STT-Logic Partitioning for Optimum Performance
99 -- 112Farhad Alibeygi Parsan, Waleed K. Al-Assadi, Scott C. Smith. Gate Mapping Automation for Asynchronous NULL Convention Logic Circuits
113 -- 126Binzhang Fu, Yinhe Han, Huawei Li, Xiaowei Li 0001. ZoneDefense: A Fault-Tolerant Routing for 2-D Meshes Without Virtual Channels
127 -- 135Jing Guo, Liyi Xiao, Zhigang Mao, Qiang Zhao. Enhanced Memory Reliability Against Multiple Cell Upsets Using Decimal Matrix Code
136 -- 145Kai-Chiang Wu, Diana Marculescu. Power-Planning-Aware Soft Error Hardening via Selective Voltage Assignment
146 -- 154Ruben Specogna. Extraction of VLSI Multiconductor Transmission Line Parameters by Complementarity
155 -- 167Yiding Han, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy. Exploring High-Throughput Computing Paradigm for Global Routing
168 -- 180Yazhi Huang, Liang Shi, Jianhua Li, Qing'an Li, Chun Jason Xue. WCET-Aware Re-Scheduling Register Allocation for Real-Time Embedded Systems With Clustered VLIW Architecture
181 -- 185Jin-Fa Lin. Low-Power Pulse-Triggered Flip-Flop Design Based on a Signal Feed-Through
185 -- 190Alessandro Bardine, Manuel Comparetti, Pierfrancesco Foglia, Cosimo Antonio Prete. Evaluation of Leakage Reduction Alternatives for Deep Submicron Dynamic Nonuniform Cache Architecture Caches
190 -- 195Alex Pappachen James, Linu Rose V. J. Francis, Dinesh S. Kumar. Resistive Threshold Logic