Journal: IEEE Trans. on CAD of Integrated Circuits and Systems

Volume 14, Issue 9

1049 -- 1066Bernd Becker, Rolf Drechsler, Paul Molitor. On the generation of area-time optimal testable adders
1067 -- 1075Pranav Ashar, Sujit Dey, Sharad Malik. Exploiting multicycle false paths in the performance optimization of sequential logic circuits
1076 -- 1084Chau-Shen Chen, Yu-Wen Tsay, TingTing Hwang, Allen C.-H. Wu, Youn-Long Lin. Combining technology mapping and placement for delay-minimization in FPGA designs
1085 -- 1092Nan-Chi Chou, Lung-Tien Liu, Chung-Kuan Cheng, Wei-Jin Dai, Rodney Lindelof. Local ratio cut and set covering partitioning for huge logic emulation systems
1093 -- 1097Akira Ito. A voltage dependent capacitance model including effects of manufacturing process variabilities on voltage coefficients
1098 -- 1103Ming-Huei Shieh, Hung Chang Lin. Modeling hysteretic current-voltage characteristics for resonant tunneling diodes
1104 -- 1114Ernst Strasser, Siegfried Selberherr. Algorithms and models for cellular based topography simulation
1115 -- 1127Suman Kanjilal, Srimat T. Chakradhar, Vishwani D. Agrawal. Test function embedding algorithms with application to interconnected finite state machines
1128 -- 1140Soo-Young Lee, Kewal K. Saluja. Test application time reduction for sequential circuits with scan
1141 -- 1154Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy. Behavioral synthesis of area-efficient testable designs using interaction between hardware sharing and partial scan
1155 -- 1160Vishwani D. Agrawal, Srimat T. Chakradhar. Combinational ATPG theorems for identifying untestable faults in sequential circuits
1160 -- 1171Dimitrios Kagaris, Spyros Tragoudas, Dinesh Bhatia. Pseudo-exhaustive built-in TPG for sequential circuits
1171 -- 1179Vijay Raghavendra, Chidchanok Lursinsap. A technique for micro-rollback self-recovery synthesis

Volume 14, Issue 8

917 -- 923Joseph W. Jerome, Chi-Wang Shu. Transport effects and characteristic modes in the modeling and simulation of submicron devices
924 -- 933Mark G. Graham, John J. Paulos, Douglas W. Nychka. Template-based MOSFET device model
934 -- 944Muhammad K. Dhodhi, Frank H. Hielscher, Robert H. Storer, Jayaram Bhasker. Datapath synthesis using a problem-space genetic algorithm
945 -- 960Wim F. J. Verhaegh, Paul E. R. Lippens, Emile H. L. Aarts, Jan H. M. Korst, Jef L. van Meerbergen, Albert van der Werf. Improved force-directed scheduling in high-throughput digital signal processing
961 -- 973Jun Gu, Ruchir Puri. Asynchronous circuit synthesis with Boolean satisfiability
974 -- 985Bill Lin, Srinivas Devadas. Synthesis of hazard-free multilevel logic under multiple-input changes from binary decision diagrams
986 -- 997Steven M. Nowick, David L. Dill. Exact two-level minimization of hazard-free logic with multiple-input changes
998 -- 1012Harish Kriplani, Farid N. Najm, Ibrahim N. Hajj. Pattern independent maximum current estimation in power and ground buses of CMOS VLSI circuits: Algorithms, signal correlations, and their resolution
1013 -- 1024Mysore Sriram, Sung-Mo Kang. Efficient approximation of the time domain response of lossy coupled transmission line trees
1025 -- 1030Pranav Ashar, Sharad Malik. Functional timing analysis using ATPG
1030 -- 1037C. Y. Roger Chen, Cliff Yungchin Hou. A pin permutation algorithm for improving over-the-cell channel routing
1037 -- 1044D. Lambidonis, André Ivanov, Vinod K. Agarwal. Fast signature computation for BIST linear compactors
1044 -- 1045Slawomir Pilarski. Comments on Test efficiency analysis of random self-test of sequential circuits

Volume 14, Issue 7

785 -- 793Mounir Fares, Bozena Kaminska. FPAD: a fuzzy nonlinear programming approach to analog circuit design
794 -- 802Julie Chen, Andrew T. Yang. STYLE: a statistical design approach based on nonparametric performance macromodeling
803 -- 814G. Hari Rama Krishna, Amit K. Aditya, Nirmal B. Chakrabarti, Swapna Banerjee. Finite element analysis of SiGe heterojunction devices
815 -- 823Yevgeny V. Mamontov, Magnus Willander. Accounting thermal noise in mathematical models of quasi-homogeneous regions in silicon devices
824 -- 832Shinji Odanaka, Tatsuo Nogi. Massively parallel computation using a splitting-up operator method for three-dimensional device simulation
833 -- 848S. C. Leung, Hon F. Li. On the realizability and synthesis of delay-insensitive behaviors
849 -- 857T. W. Her, Ting-Chi Wang, Martin D. F. Wong. Performance-driven channel pin assignment algorithms
858 -- 867M. Helena Fino, José E. da Franca, Adolfo Steiger-Garção. Automatic symbolic analysis of switched-capacitor filtering networks using signal flow graphs
868 -- 881Jeong-Taek Kong, David Overhauser. Methods to improve digital MOS macromodel accuracy
882 -- 889Jeffrey R. Parkhurst, Lawrence L. Ogborn. Determining the steady-state output of nonlinear oscillatory circuits using multiple shooting
890 -- 896Charles J. Alpert, T. C. Hu, Dennis J.-H. Huang, Andrew B. Kahng, David R. Karger. Prim-Dijkstra tradeoffs for improved performance-driven routing tree design
896 -- 903C. Y. Roger Chen, Cliff Yungchin Hou, Bradley S. Carlson. A preprocessor for improving channel routing hierarchical pin permutation
903 -- 909Seonghun Cho, Sartaj Sahni. Minimum area joining of compacted cells
909 -- 916Luis Entrena-Arrontes, Kwang-Ting Cheng. Combinational and sequential logic optimization by redundancy addition and removal

Volume 14, Issue 6

653 -- 662Sundarar Mohan, Jian Ping Sun, Pinaki Mazumder, George I. Haddad. Device and circuit simulation of quantum electronic devices
663 -- 675Ing-Jer Huang, Alvin M. Despain. Synthesis of application specific instruction sets
676 -- 693Mani B. Srivastava, Robert W. Brodersen. SIERA: a unified framework for rapid-prototyping of system-level hardware and software
694 -- 706Frank Vahid, Sanjiv Narayan, Daniel D. Gajski. SpecCharts: a VHDL front-end for embedded systems
707 -- 719Akira Onozawa, Kamal Chaudhary, Ernest S. Kuh. Performance driven spacing algorithms using attractive and repulsive constraints for submicron LSI s
720 -- 727Karl Michael Eickhoff, Walter L. Engl. Levelized incomplete LU factorization and its application to large-scale circuit simulation
728 -- 739Srimat T. Chakradhar, Mahesh A. Iyer, Vishwani D. Agrawal. Energy models for delay testing
740 -- 749Yih-Lang Li, Cheng-Wen Wu. Cellular automata for efficient parallel logic and fault simulation
750 -- 765Sridhar Narayanan, Melvin A. Breuer. Reconfiguration techniques for a single scan chain
766 -- 772T. W. Her, Martin D. F. Wong. On over-the-cell channel routing with cell orientations consideration
772 -- 775Wuudiann Ke, Premachandran R. Menon. Delay-testable implementations of symmetric functions
775 -- 780Venkat Thanvantri, Sartaj Sahni. Folding a stack of equal width components
780 -- 784Bernard A. McCoy, Gabriel Robins. Non-tree routing [VLSI layout]

Volume 14, Issue 5

531 -- 546Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy. Considering testability at behavioral level: use of transformations for partial scan cost minimization under timing and area constraints
547 -- 553Steffen Tarnick. Controllable self-checking checkers for conditional concurrent checking
554 -- 566Mody Lempel, Sandeep K. Gupta, Melvin A. Breuer. Test embedding with discrete logarithms
567 -- 575Siyad C. Ma, Edward J. McCluskey. Open faults in BiCMOS gates
576 -- 582Wuudiann Ke, Premachandran R. Menon. Path-delay-fault testable nonscan sequential circuits
582 -- 590Aiman H. El-Maleh, Janusz Rajski. Delay-fault testability preservation of the concurrent decomposition and factorization transformations
590 -- 596Keerthi Heragu, Vishwani D. Agrawal, Michael L. Bushnell. Fault coverage estimation by test vector sampling
596 -- 603Terry Lee, Weitong Chuang, Ibrahim N. Hajj, W. Kent Fuchs. Circuit-level dictionaries of CMOS bridging faults
603 -- 607Peter C. Maxwell. Reductions in quality caused by uneven fault coverage of different areas of an integrated circuit
607 -- 612Vladimir Kolarik, Salvador Mir, Marcelo Lubaszewski, Bernard Courtois. Analog checkers with absolute and relative tolerances
613 -- 622Yanbing Xu, Mostafa H. Abd-El-Barr, Carl McCrosky. Graph-based output phase assignment for PLA minimization
623 -- 630Lung-Tien Liu, Ming-Ter Kuo, Chung-Kuan Cheng, T. C. Hu. A replication cut for two-way partitioning
631 -- 638Masato Fujinaga, I. Tottori, Tatsuya Kunikiyo, Tetsuya Uchida, Norihiko Kotani, Yasumasa Tsukamoto. 3-D numerical modeling of thermal flow for insulating thin film using surface diffusion
639 -- 649Peter Feldmann, Roland W. Freund. Efficient linear circuit analysis by Pade approximation via the Lanczos process

Volume 14, Issue 4

401 -- 412Prabir C. Maulik, L. Richard Carley, Rob A. Rutenbar. Integer programming based topology selection of cell-level analog circuits
413 -- 422Scott Hazelhurst, Carl-Johan H. Seger. A simple theorem prover based on symbolic trajectory evaluation and BDD s
423 -- 433Malgorzata Marek-Sadowska, Majid Sarrafzadeh. The crossing distribution problem [IC layout]
434 -- 446Kazuhiro Takahashi, Kazuo Nakajima, Masayuki Terai, Koji Sato. Min-cut placement with global objective functions for large scale sea-of-gates arrays
447 -- 458Michael S. Obrecht, Mohamed I. Elmasry, Edwin L. Heasell. TRASIM: compact and efficient two-dimensional transient simulator for arbitrary planar semiconductor devices
459 -- 463Jacco L. Pleumeekers, Claude M. Simon, Serge Mottet. Investigation into the properties of the explicit method for the resolution of the semiconductor device equations
464 -- 469Asim Salim, Tajinder Manku, Arokia Nathan. Modeling of magnetic field sensitivity of bipolar magnetotransistors using HSPICE
470 -- 480Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli. Automatic generation of analytical models for interconnect capacitances
481 -- 492Abhijit Dharchoudhury, Sung-Mo Kang. Worst-case analysis and optimization of VLSI circuit performances
493 -- 502Edward W. Y. Liu, Alberto L. Sangiovanni-Vincentelli. Verification of Nyquist data converters using behavioral simulation
503 -- 509Timothy Kam, P. A. Subrahmanyam. Comparing layouts with HDL models: a formal verification technique
510 -- 518Wen Fang, M. Ebrahim Mokari-Bolhassan, David Smart. Robust VLSI circuit simulation techniques based on overlapped waveform relaxation
518 -- 523Wing Ning Li. The complexity of segmented channel routing
523 -- 526So-Zen Yao, Chung-Kuan Cheng, Debaprosad Dutt, Surendra Nahar, Chi-Yuan Lo. A cell-based hierarchical pitchmatching compaction using minimal LP

Volume 14, Issue 3

274 -- 295Ching-Yi Wang, Keshab K. Parhi. High-level DSP synthesis using concurrent transformations, scheduling, and allocation
296 -- 307Moon-Jung Chung, Sangchul Kim. A path-oriented algorithm for the cell selection problem
308 -- 320Weitong Chuang, Sachin S. Sapatnekar, Ibrahim N. Hajj. Timing and area optimization for standard-cell VLSI circuit design
321 -- 336Jason Cong, Kwok-Shing Leung. Optimal wiresizing under Elmore delay model
337 -- 348Wen-Chung Kao, Tai-Ming Parng. Cross point assignment with global rerouting for general-architecture designs
349 -- 359Wern-Jieh Sun, Carl Sechen. Efficient and effective placement for very large circuits
360 -- 370Michael M. Green, Alan N. Willson Jr.. An algorithm for identifying unstable operating points using SPICE
371 -- 374Ching-Dong Chen, Yuh-Sheng Lee, Allen C.-H. Wu, Youn-Long Lin. TRACER-fpga: a router for RAM-based FPGA s
374 -- 384Wen-Ben Jone, Christos A. Papachristou. A coordinated circuit partitioning and test generation method for pseudo-exhaustive testing of VLSI circuits
384 -- 393Ayman I. Kayssi, Karem A. Sakallah. Timing models for gallium arsenide direct-coupled FET logic circuits
393 -- 397Yu-Wen Tsay, Youn-Long Lin. A row-based cell placement method that utilizes circuit structural properties

Volume 14, Issue 2

137 -- 144Mariusz Niewczas, Adam Wojtasik. Modeling of VLSI RC parasitics based on the network reduction algorithm
145 -- 153Ching-Wei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin. Optimization by iterative improvement: an experimental evaluation on two-way partitioning
154 -- 162Ching-Wei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin. Circuit clustering using a stochastic flow injection method
163 -- 166Benjamín Iñíguez, Eugenio García Moreno. Development of a C::infinity::-continuous small-signal model for a MOS transistor in normal operation
167 -- 172Zhixin Yan, M. Jamal Deen. New RTD large-signal DC model suitable for PSPICE
173 -- 185Chun-Jung Chen, Wu-Shiung Feng. Relaxation-based transient sensitivity computations for MOSFET circuits
186 -- 200Eli Chiprout, Michel S. Nakhla. Analysis of interconnect networks using complex frequency hopping (CFH)
201 -- 217Chen-Liang Fang, Wen-Ben Jone. Timing optimization by gate resizing and critical path identification
218 -- 238Christofer Toumazou, Costas A. Makris. Analog IC design automation. I. Automated circuit generation: new concepts and methods
239 -- 254Costas A. Makris, Christofer Toumazou. Analog IC design automation. II. Automated circuit correction by qualitative reasoning
255 -- 264Irith Pomeranz, Sudhakar M. Reddy. On correction of multiple design errors
265 -- 269Ting-Chi Wang, Martin D. F. Wong, Yachyang Sun, Chak-Kuen Wong. Optimal net assignment

Volume 14, Issue 12

1417 -- 1436Kenneth D. Boese, Andrew B. Kahng, Bernard A. McCoy, Gabriel Robins. Near-optimal critical sink routing tree constructions
1437 -- 1444Steven Bova, Graham F. Carey. A Taylor-Galerkin finite element method for the hydrodynamic semiconductor equations
1445 -- 1458Kuen-Jong Lee, Chih-Nan Wang, Rajiv Gupta, Melvin A. Breuer. An integrated system for assigning signal flow directions to CMOS transistors
1459 -- 1469Ivan L. Wemple, Andrew T. Yang. Integrated circuit substrate coupling models based on Voronoi tessellation
1470 -- 1479K. Fuchs. Synthesis for path delay fault testability via tautology-based untestability identification and factorization
1480 -- 1489Kamal Chaudhary, Massoud Pedram. Computing the area versus delay trade-off curves in technology mapping
1490 -- 1495Rajmohan Rajaraman, Martin D. F. Wong. Optimum clustering for delay minimization
1496 -- 1504Seiji Kajihara, Irith Pomeranz, Kozo Kinoshita, Sudhakar M. Reddy. Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits
1505 -- 1515Irith Pomeranz, Sudhakar M. Reddy, Prasanti Uppaluri. NEST: a nonenumerative test generation method for path delay faults in combinational circuits
1516 -- 1525Ted Stanion, Debashis Bhattacharya, Carl Sechen. An efficient method for generating exhaustive test sets
1526 -- 1545Chuan-Hua Chang, Edward S. Davidson, Karem A. Sakallah. Maximum rate single-phase clocking of a closed pipeline including wave pipelining, stoppability, and startability
1546 -- 1556Elizabeth J. Brauer, Sung-Mo Kang. An algorithm for functional verification of digital ECL circuits
1557 -- 1568Kannan Krishna, Stephen W. Director. The linearized performance penalty (LPP) method for optimization of parametric yield and its reliability
1569 -- 1577Kurt Keutzer, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli. Synthesis for testability techniques for asynchronous circuits
1577 -- 1586Dhiraj K. Pradhan, Jayashree Saxena. A novel scheme to reduce test application time in circuits with full scan
1586 -- 1590Alexander Y. Tetelbaum. Generalized optimum path search

Volume 14, Issue 11

1309 -- 1315Ting-Wei Tang, Mei-Kei Ieong. Discretization of flux densities in device simulations using optimum artificial diffusivity
1316 -- 1327Maurizio Damiani, Jerry Chih-Yuan Yang, Giovanni De Micheli. Optimization of combinational logic circuits based on compatible gates
1328 -- 1341Mitchell A. Thornton, V. S. S. Nair. Efficient calculation of spectral coefficients and their applications
1342 -- 1358Charles J. Alpert, Andrew B. Kahng. Multiway partitioning via geometric embeddings, orderings, and dynamic programming
1359 -- 1369Qinghong Wu, C. Y. Roger Chen, Bradley S. Carlson. LILA: layout generation for iterative logic arrays
1370 -- 1378Jau-Shien Chang, Chen-Shang Lin. Test set compaction for combinational circuits
1379 -- 1387Jacob Savir. Shrinking wide compressors [BIST]
1388 -- 1398Murali M. R. Gala, Don E. Ross, Karan L. Watson, Beena Vasudevan, Peter Utama. Built-in self test for C-testable ILA s
1398 -- 1402Owen Kaser. On squashing hierarchical designs [VLSI]
1402 -- 1407Jien-Chung Lo, James C. Daly, Michael Nicolaidis. A strongly code disjoint built-in current sensor for strongly fault-secure static CMOS realizations
1408 -- 1414Anand Raghunathan, Pranav Ashar, Sharad Malik. Test generation for cyclic combinational circuits

Volume 14, Issue 10

1183 -- 1192Bradley S. Carlson, Suh-Juch Lee. Delay optimization of digital CMOS VLSI circuits by transistor reordering
1193 -- 1207Abhijit Chatterjee, Charles F. Machala III, Ping Yang. A submicron DC MOSFET model for simulation of analog circuits
1208 -- 1222Stefan Halama, Christoph Pichler, Gerhard Rieger, Gerhard Schrom, Thomas Simlinger, Siegfried Selberherr. VISTA-user interface, task level, and tool integration
1223 -- 1230Mark E. Law. Grid adaption near moving boundaries in two dimensions for IC process simulation
1231 -- 1240Alfred Kwok Kit Wong, Roberto Guerrieri, Andrew R. Neureuther. Massively parallel electromagnetic simulation for photolithographic applications
1241 -- 1256Wolfgang Meyer, Raul Camposano. Active timing multilevel fault-simulation with switch-level accuracy
1257 -- 1267Jay B. Brockman, Stephen W. Director. The schema-based approach to workflow management
1268 -- 1276Suman Kanjilal, Srimat T. Chakradhar, Vishwani D. Agrawal. A partition and resynthesis approach to testable design of large circuits
1277 -- 1290Kei-Yong Khoo, Jason Cong. An efficient multilayer MCM router based on four-via routing
1291 -- 1298Chin-Long Wey, Shoba Krishnan, Sondes Sahli. Test generation and concurrent error detection in current-mode A/D converters
1299 -- 1305Miquel Roca, Antonio Rubio. Current testability analysis of feedback bridging faults in CMOS circuits
1305 -- 1308Ching-Wei Yeh. On the acceleration of flow-oriented circuit clustering

Volume 14, Issue 1

1 -- 11Werner Geurts, Francky Catthoor, Hugo De Man. Quadratic zero-one programming-based synthesis of application-specific data paths
12 -- 31Anantha P. Chandrakasan, Miodrag Potkonjak, Renu Mehra, Jan M. Rabaey, Robert W. Brodersen. Optimizing power using transformations
32 -- 44William K. C. Lam, Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli. Delay fault coverage, test set size, and performance trade-offs
45 -- 60Luciano Lavagno, Cho W. Moon, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli. An efficient heuristic procedure for solving the state assignment problem for event-based specifications
61 -- 86Luciano Lavagno, Kurt Keutzer, Alberto L. Sangiovanni-Vincentelli. Synthesis of hazard-free asynchronous circuits with bounded wire delays
87 -- 95Amelia Shen, Srinivas Devadas, Abhijit Ghosh. Probabilistic manipulation of Boolean functions using free Boolean diagrams
96 -- 106L. James Hwang, Abbas El Gamal. Min-cut replication in partitioned networks
107 -- 122Enrico Malavasi, Davide Pandini. Optimum CMOS stack generation with analog constraints
123 -- 132Peichen Pan, C. L. Liu. Area minimization for floorplans