Journal: IEEE Trans. on CAD of Integrated Circuits and Systems

Volume 25, Issue 9

1577 -- 1588Chuan Lin, Hai Zhou. Optimal wire retiming without binary search
1589 -- 1602Fei Sun, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha. Application-specific heterogeneous multiprocessor synthesis using extensible processors
1603 -- 1617Oskar Mencer. ASC: a stream compiler for computing with FPGAs
1618 -- 1636Bin Wu, Jianwen Zhu, Farid N. Najm. Dynamic-range estimation
1637 -- 1651Josep Carmona, José Manuel Colom, Jordi Cortadella, F. García-Vallés. Synthesis of asynchronous controllers using integer linear programming
1652 -- 1663William N. N. Hung, Xiaoyu Song, Guowu Yang, Jin Yang, Marek A. Perkowski. Optimal synthesis of multiple output Boolean functions using a set of quantum gates by symbolic reachability analysis
1664 -- 1676Jun Chen, Lei He. Modeling and synthesis of multiport transmission line for multichannel communication
1677 -- 1684B. Lasbouygues, S. Engels, Robin Wilson, Philippe Maurine, Nadine Azémard, Daniel Auvergne. Logical effort model extension to propagation delay representation
1685 -- 1695Rajeev R. Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester. Analytical yield prediction considering leakage/performance correlation
1696 -- 1704Xiangyin Zeng, Jiangqi He, M. N. Abdulla, Qing-Lun Chen. Understanding and closed-form-formula determination of frequency-dependent bonding-pad characterization
1705 -- 1718Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann. Memory performance prediction for high-performance microprocessors at deep submicrometer technologies
1719 -- 1732Jason Cong, Michail Romesis, Joseph R. Shinnerl. Fast floorplanning by look-ahead enabled recursive bipartitioning
1733 -- 1743Prashant Saxena. On controlling perturbation due to repeaters during quadratic placement
1744 -- 1753Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong. Minimizing wire length in floorplanning
1754 -- 1762Hua Xiang, Kai-Yuan Chao, Martin D. F. Wong. An ECO routing algorithm for eliminating coupling-capacitance violations
1763 -- 1776Peng Li, Lawrence T. Pileggi, Mehdi Asheghi, Rajit Chandra. IC thermal simulation and modeling via efficient multigrid-based approaches
1777 -- 1792Hao Gang Wang, Chi Hou Chan, Leung Tsang, Vikram Jandhyala. On sampling algorithms in multilevel QR factorization method for magnetoquasistatic analysis of integrated circuits over multilayered lossy substrates
1793 -- 1814Arijit Mondal, P. P. Chakrabarti. Reasoning about timing behavior of digital circuits using symbolic event propagation and temporal logic
1815 -- 1830Hui-Yuan Song, Kundan Nepal, R. Iris Bahar, Joel Grodstein. Timing analysis for full-custom circuits using symbolic DC formulations
1831 -- 1846Xiaohua Kong, Radu Negulescu. Semihiding operators and active-edge specification
1847 -- 1855Ruiming Chen, Hai Zhou. Statistical timing verification for transparently latched circuits
1855 -- 1860Victor De La Luz, Mahmut T. Kandemir, Ibrahim Kolcu. Reducing memory energy consumption of embedded applications that process dynamically allocated data
1861 -- 1868Ruifeng Guo, Srikanth Venkataraman. An algorithmic technique for diagnosis of faulty scan chains
1869 -- 1876Anand Rajaram, Bing Lu, Jiang Hu, Rabi N. Mahapatra, Wei Guo. Analytical bound for unwanted clock skew due to wire width variation
1876 -- 1885Jens Vygen. Slack in static timing analysis

Volume 25, Issue 8

1421 -- 1440Hua Tang, Hui Zhang, Alex Doboli. Refinement-based synthesis of continuous-time analog filters through successive domain pruning, plateau search, and adaptive sampling
1441 -- 1457Behzad Akbarpour, Sofiène Tahar. An approach for the formal verification of DSP designs using Theorem proving
1458 -- 1474Hiren D. Patel, Deepak Mathaikutty, David Berner, Sandeep K. Shukla. CARH: service-oriented architecture for validating system-level designs
1475 -- 1485Puneet Gupta, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester. Gate-length biasing for runtime-leakage control
1486 -- 1495Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy. Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits
1496 -- 1509Zhenyu Qi, Hao Yu, Pu Liu, Sheldon X.-D. Tan, Lei He. Wideband passive multiport model order reduction and realization of RLCM circuits
1510 -- 1522Muhammet Mustafa Ozdal, Martin D. F. Wong. Algorithms for simultaneous escape routing and Layer assignment of dense PCBs
1523 -- 1534P. Kannan, D. Bhatia. Interconnect estimation for FPGAs
1535 -- 1546Yung-Chieh Lin, Feng Lu, Kwang-Ting Cheng. Pseudofunctional testing
1547 -- 1554Sobeeh Almukhaizim, Petros Drineas, Yiorgos Makris. Entropy-driven parity-tree selection for low-overhead concurrent error detection in finite state machines
1555 -- 1564Seongmoon Wang, Srimat T. Chakradhar. A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs
1565 -- 1574Seongmoon Wang, Sandeep K. Gupta. LT-RTPG: a new test-per-scan BIST TPG for low switching activity

Volume 25, Issue 7

1197 -- 1208Kris Tiri, Ingrid Verbauwhede. A digital design flow for secure integrated circuits
1209 -- 1229Laura Pozzi, Kubilay Atasu, Paolo Ienne. Exact and approximate algorithms for the extension of embedded processor instruction sets
1230 -- 1246Christopher Umans, Tiziano Villa, Alberto L. Sangiovanni-Vincentelli. Complexity of two-level logic minimization
1247 -- 1256Jongsun Park, Khurram Muhammad, Kaushik Roy. Efficient modeling of 1/f:::alpha:::/ noise using multirate process
1257 -- 1272Guoyong Shi, Bo Hu, C.-J. Richard Shi. On symbolic model order reduction
1273 -- 1288Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David Blaauw. Statistical interconnect metrics for physical-design optimization
1289 -- 1300Mongkol Ekpanyapong, Jacob R. Minz, Thaisiri Watewai, Hsien-Hsin S. Lee, Sung Kyu Lim. Profile-guided microarchitectural floor planning for deep submicron processor design
1301 -- 1312Andrew B. Kahng, Sherief Reda. Wirelength minimization for min-cut placements via placement feedback
1313 -- 1326Jarrod A. Roy, Saurabh N. Adya, David A. Papa, Igor L. Markov. Min-cut floorplacement
1327 -- 1336Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava, Miodrag Potkonjak. A statistical methodology for wire-length prediction
1337 -- 1349Peng Rong, Massoud Pedram. Battery-aware power management based on Markovian decision processes
1350 -- 1367Munkang Choi, Linda S. Milor. Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing
1368 -- 1377Shibaji Banerjee, Debdeep Mukhopadhyay, C. V. G. Rao, Dipanwita Roy Chowdhury. An integrated DFT solution for mixed-signal SOCs
1377 -- 1381Luc Knockaert, Tom Dhaene. Orthonormal bandlimited Kautz sequences for global system modeling from piecewise rational models
1382 -- 1391Knockaert Radecka, Zeljko Zilic. Arithmetic transforms for compositions of sequential and imprecise datapaths
1392 -- 1400C.-J. Richard Shi, Michael W. Tian, Guoyong Shi. Efficient DC fault simulation of nonlinear analog circuits: one-step relaxation and adaptive simulation continuation
1400 -- 1410Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen. Analytical model for crosstalk and intersymbol interference in point-to-point buses
1411 -- 1418Dan Zhao, Shambhu J. Upadhyaya, Martin Margala. Design of a wireless test control network with radio-on-chip technology for nanometer system-on-a-chip

Volume 25, Issue 6

945 -- 960Sambuddha Bhattacharya, Nuttorn Jangkrajarng, C.-J. Richard Shi. Multilevel symmetry-constraint generation for retargeting large analog layouts
961 -- 976Shih-Hsu Huang, Yow-Tyng Nieh. Synthesis of nonzero clock skew circuits
977 -- 999Alan Mishchenko, Robert K. Brayton. A theory of nondeterministic networks
1000 -- 1010Vivek V. Shende, Stephen S. Bullock, Igor L. Markov. Synthesis of quantum-logic circuits
1011 -- 1023Jin S. Zhang, Malgorzata Chrzanowska-Jeske, Alan Mishchenko, Jerry R. Burch. Linear cofactor relationships in Boolean functions
1024 -- 1037Ravindra Jejurikar, Rajesh K. Gupta. Energy-aware task scheduling with task synchronization for embedded real-time systems
1038 -- 1046Haldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda. Impact of stress-induced backflow on full-chip electromigration risk assessment
1047 -- 1063Junjun Li, S. Joshi, R. Barnes, E. Rosenbaum. Compact modeling of on-chip ESD protection devices using Verilog-A
1064 -- 1074Debjit Sinha, Hai Zhou. Gate-size optimization under timing constraints for coupling-noise reduction
1075 -- 1086Peter G. Sassone, Sung Kyu Lim. Traffic: a novel geometric algorithm for fast wire-optimized floorplanning
1087 -- 1103Zhao Li, C.-J. Richard Shi. SILCA: SPICE-accurate iterative linear-centric analysis for efficient time-domain Simulation of VLSI circuits with strong parasitic couplings
1104 -- 1116Tao Jiang, R. D. (Shawn) Blanton. Inductive fault analysis of surface-micromachined MEMS
1117 -- 1131Manan Syal, Michael S. Hsiao. New techniques for untestable fault identification in sequential circuits
1132 -- 1140Cristinel Ababei, Hushrav Mogal, Kia Bazargan. Three-dimensional place and route for FPGAs
1140 -- 1145Charles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze. Accurate estimation of global buffer delay within a floorplan
1146 -- 1154Mustafa Badaroglu, Kris Tiri, Geert Van der Plas, Piet Wambacq, Ingrid Verbauwhede, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man. Clock-skew-optimization methodology for substrate-noise reduction with supply-current folding
1154 -- 1162Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Constantinos E. Goutis. A high-performance data path for synthesizing DSP kernels
1163 -- 1169Nikhil Joshi, Kaijie Wu, Jayachandran Sundararajan, Ramesh Karri. Concurrent error detection for involutional functions with applications in fault-tolerant cryptographic hardware design
1170 -- 1175Irith Pomeranz, Sudhakar M. Reddy. Transparent DFT: a design for testability and test generation approach for synchronous sequential circuits
1176 -- 1182Anand Rajaram, Jiang Hu, Rabi N. Mahapatra. Reducing clock skew variability via crosslinks
1183 -- 1191Lizheng Zhang, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen. Statistical static timing analysis with conditional linear MAX/MIN approximation and extended canonical timing model

Volume 25, Issue 5

743 -- 755Alan Mishchenko, Jin S. Zhang, Subarnarekha Sinha, Jerry R. Burch, Robert K. Brayton, Malgorzata Chrzanowska-Jeske. Using simulation and satisfiability to compute flexibilities in Boolean networks
756 -- 771Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, Seth Copen Goldstein. Hardware compilation of application-specific memory-access interconnect
772 -- 779Philip Brisk, Foad Dabiri, Roozbeh Jafari, Majid Sarrafzadeh. Optimal register sharing for high-level synthesis of SSA form programs
780 -- 788Subramanian K. Iyer, Debashis Sahoo, E. Allen Emerson, Jawahar Jain. On partitioning and symbolic model checking
789 -- 796Tsutomu Sasao. Analysis and synthesis of weighted-sum functions
797 -- 805Jaime Jimenez, José Luis Martín, Aitzol Zuloaga, Unai Bidarte, Jagoba Arias. Comparison of two designs for the multifunction vehicle bus
806 -- 820Ying Yi, Roger Woods. Hierarchical synthesis of complex DSP functions using IRIS
821 -- 836Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James Tschanz, Vivek De. Formal derivation of optimal active shielding for low-power on-chip buses
837 -- 855Srinivas Bodapati, Farid N. Najm. High-level current macro model for logic blocks
856 -- 866Yan Feng, Dinesh P. Mehta. Module relocation to obtain feasible constrained floorplans
867 -- 877Premachandran R. Menon, Weifeng Xu, Russell Tessier. Design-specific path delay testing in lookup-table-based FPGAs
878 -- 891Haralampos-G. D. Stratigopoulos, Yiorgos Makris. Concurrent detection of erroneous responses in linear analog circuits
892 -- 901Kanak Agarwal, Dennis Sylvester, David Blaauw. Modeling and analysis of crosstalk noise in coupled RLC interconnects
902 -- 909Rüdiger Ebendt, Rolf Drechsler. Effect of improved lower bounds in dynamic BDD reordering
909 -- 913Kooho Jung, William R. Eisenstadt, Robert M. Fox. SPICE-based mixed-mode S-parameter calculations for four-port and three-port circuits
913 -- 917Hong Sik Kim, Sungho Kang. Increasing encoding efficiency of LFSR reseeding-based test compression
917 -- 924Xun Liu, Yuantao Peng, Marios C. Papaefthymiou. Practical repeater insertion for low power: what repeater library do we need?
924 -- 932Ewout Martens, Georges G. E. Gielen. Analyzing continuous-time Delta-Sigma-Modulators with generic behavioral models
932 -- 938Chenggang Xu, Terri S. Fiez, Kartikeya Mayaram. An error control method for application of the discrete cosine transform to extraction of substrate parasitics in ICs

Volume 25, Issue 4

611 -- 624Qinghua Liu, Malgorzata Marek-Sadowska. Semi-Individual Wire-Length Prediction With Application to Logic Synthesis
625 -- 636Rupesh S. Shelar, Prashant Saxena, Sachin S. Sapatnekar. Technology Mapping Algorithm Targeting Routing Congestion Under Delay Constraints
637 -- 650Tung-Chieh Chen, Yao-Wen Chang. Modern Floorplanning Based on B:::*:::-Tree and Fast Simulated Annealing
651 -- 663Baris Taskin, Ivan S. Kourtev. Delay Insertion Method in Clock Skew Scheduling
664 -- 677Jaskirat Singh, Sachin S. Sapatnekar. Partition-Based Algorithm for Power Grid Design Using Locality
678 -- 691Gi-Joon Nam, Sherief Reda, Charles J. Alpert, Paul Villarrubia, Andrew B. Kahng. A Fast Hierarchical Quadratic Placement Algorithm
692 -- 709Brent Goplen, Sachin S. Sapatnekar. Placement of Thermal Vias in 3-D ICs Using Various Thermal Objectives
710 -- 724James D. Ma, Rob A. Rutenbar. Fast Interval-Valued Statistical Modeling of Interconnect and Effective Capacitance
725 -- 733Yukiko Kubo, Atsushi Takahashi. Global Routing by Iterative Improvements for Two-Layer Ball Grid Array Packages
734 -- 738Di Wu, Jiang Hu, Rabi N. Mahapatra. Antenna Avoidance in Layer Assignment

Volume 25, Issue 3

389 -- 402Sangyun Kim, Peter A. Beerel. Pipeline optimization for asynchronous circuits: complexity analysis and an efficient optimal algorithm
403 -- 412Hao Zheng, Chris J. Myers, David Walter, Scott Little, Tomohiro Yoneda. Verification of timed circuits with failure-directed abstractions
413 -- 422Kaijie Wu, Ramesh Karri. Algorithm-level recomputing with shifted operands-a register transfer level concurrent error detection technique
423 -- 437Jason Helge Anderson, Farid N. Najm. Active leakage power optimization for FPGAs
438 -- 453Dongkun Shin, Jihong Kim. Dynamic voltage scaling of mixed task sets in priority-driven systems
454 -- 468Hongmei Li, Cole E. Zemke, Giorgos Manetas, Vladimir I. Okhmatovski, Elyse Rosenbaum, Andreas C. Cangellaris. An automated and efficient substrate noise analysis tool
469 -- 483Ruibing Lu, Cheng-Kok Koh. Performance analysis of latency-insensitive systems
484 -- 489Zhuo Li, Weiping Shi. An O(bn/sup 2/) time algorithm for optimal buffer insertion with b buffer types
490 -- 503Muhammet Mustafa Ozdal, Martin D. F. Wong. Algorithmic study of single-layer bus routing for high-speed boards
504 -- 517Navaratnasothie Selvakkumaran, George Karypis. Multiobjective hypergraph-partitioning algorithms for cut and maximum subdomain-degree minimization
518 -- 532Akshay Sharma, Carl Ebeling, Scott Hauck. PipeRoute: a pipelining-aware router for reconfigurable architectures
533 -- 543Andrew B. Kahng, Sherief Reda. New and improved BIST diagnosis methods from combinatorial Group testing theory
544 -- 557Loganathan Lingappan, Srivaths Ravi, Niraj K. Jha. Satisfiability-based test generation for nonseparable RTL controller-datapath circuits
558 -- 575Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski. Analysis and methodology for multiple-fault diagnosis
576 -- 590Joonhwan Yi, John P. Hayes. High-level delay test generation for modular circuits
591 -- 596Irith Pomeranz, Sudhakar M. Reddy. Scan-BIST based on transition probabilities for circuits with single and multiple scan chains
597 -- 607Hua Tang, Alex Doboli. High-level synthesis of /spl Delta//spl Sigma/ Modulator topologies optimized for complexity, sensitivity, and power consumption

Volume 25, Issue 2

211 -- 223Fei Su, Krishnendu Chakrabarty, Richard B. Fair. Microfluidics-Based Biochips: Technology Issues, Implementation Platforms, and Design-Automation Challenges
224 -- 233Jun Zeng. Modeling and Simulation of Electrified Droplets and Its Application to Computer-Aided Design of Digital Microfluidics
234 -- 247Jan Lienemann, Andreas Greiner, Jan G. Korvink. Modeling, Simulation, and Optimization of Electrowetting
248 -- 257Xin Wang, Joe Kanapka, Wenjing Ye, Narayan R. Aluru, Jacob White. Algorithms in FastStokes and Its Application to Micromachined Device Simulation
258 -- 273Yi Wang, Qiao Lin, Tamal Mukherjee. Composable Behavioral Models and Schematic-Based Simulation of Electrokinetic Lab-on-a-Chip Systems
274 -- 284Michael D. Altman, Jaydeep P. Bardhan, Bruce Tidor, Jacob K. White. FFTSVD: A Fast Multiscale Boundary-Element Method Solver Suitable for Bio-MEMS and Biomolecule Simulation
285 -- 293Dmitry Vasilyev, Michal Rewienski, Jacob White. Macromodel Generation for BioMEMS Components Using a Stabilized Balanced Truncation Plus Trajectory Piecewise-Linear Approach
294 -- 304Anand S. Bedekar, Yi Wang, S. Krishnamoorthy, Sachin S. Siddhaye, Shankar Sundaram. System-Level Simulation of Flow-Induced Dispersion in Lab-on-a-Chip Systems
305 -- 320Andrew B. Kahng, Ion I. Mandoiu, Sherief Reda, Xu Xu, Alexander Zelikovsky. Computer-Aided Optimization of DNA Array Design and Manufacturing
321 -- 333Anton J. Pfeiffer, Tamal Mukherjee, Steinar Hauan. Synthesis of Multiplexed Biofluidic Microchips
334 -- 344Karl-Friedrich Böhringer. Modeling and Controlling Parallel Tasks in Droplet-Based Microfluidic Systems
345 -- 357Eric J. Griffith, Srinivas Akella, Mark K. Goldberg. Performance Characterization of a Reconfigurable Planar-Array Digital Microfluidic System
358 -- 377Sungroh Yoon, Luca Benini, Giovanni De Micheli. A Pattern-Mining Method for High-Throughput Lab-on-a-Chip Data Analysis
378 -- 385Ryan Magargle, James F. Hoburg, Tamal Mukherjee. Microfluidic Injector Models Based on Artificial Neural Networks

Volume 25, Issue 12

2613 -- 2625Arthur Nieuwoudt, Yehia Massoud. Variability-Aware Multilevel Integrated Spiral Inductor Synthesis
2626 -- 2637Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino. Reducing Conflict Misses by Application-Specific Reconfigurable Indexing
2638 -- 2649Natasa Miskov-Zivanov, Diana Marculescu. Circuit Reliability Analysis Using Symbolic Techniques
2650 -- 2662Chantana Chantrapornchai, Wanlop Surakampontorn, Edwin Hsing-Mean Sha. Design Exploration With Imprecise Latency and Register Constraints
2663 -- 2673Milenko Drinic, Darko Kirovski, Seapahn Megerian, Miodrag Potkonjak. Latency-Guided On-Chip Bus-Network Design
2674 -- 2686Jie-Hong Roland Jiang, Robert K. Brayton. Retiming and Resynthesis: A Complexity Perspective
2687 -- 2696Darko Kirovski, Yean-Yow Hwang, Miodrag Potkonjak, Jason Cong. Protecting Combinational Logic Synthesis Solutions
2697 -- 2711Le Cai, Nathaniel Pettis, Yung-Hsiang Lu. Joint Power Management of Memory and Disk Under Performance Constraints
2712 -- 2725Ali Iranli, Massoud Pedram. Cycle-Based Decomposition of Markov Chains With Applications to Low-Power Synthesis and Sequence Compaction for Finite State Machines
2726 -- 2736Yuantao Peng, Xun Liu. An Efficient Low-Power Repeater-Insertion Scheme
2737 -- 2746Ravishankar Rao, Sarma B. K. Vrudhula. Energy-Optimal Speed Control of a Generic Device
2747 -- 2756Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, Kambiz Samadi, Xu Xu. Wafer Topography-Aware Optical Proximity Correction
2757 -- 2764Hessa Al-Junaid, Tom J. Kazmierski, Peter R. Wilson, Jerzy Baranowski. Timeless Discretization of Magnetization Slope in the Modeling of Ferromagnetic Hysteresis
2765 -- 2774Aditya Bansal, Bipul Chandra Paul, Kaushik Roy. An Analytical Fringe Capacitance Model for Interconnects Using Conformal Mapping
2775 -- 2783Luis Miguel Silveira, Joel R. Phillips. Resampling Plans for Sample Point Selection in Multipoint Model-Order Reduction
2784 -- 2794Muhammet Mustafa Ozdal, Martin D. F. Wong. A Length-Matching Routing Algorithm for High-Performance Printed Circuit Boards
2795 -- 2805Lei Cheng, Martin D. F. Wong. Floorplan Design for Multimillion Gate FPGAs
2806 -- 2819Andrew B. Kahng, Sherief Reda. Zero-Change Netlist Transformations: A New Technique for Placement Benchmarking
2820 -- 2832Kaviraj Chopra, Sarma B. K. Vrudhula. Efficient Symbolic Algorithms for Computing the Minimum and Bounded Leakage States
2833 -- 2842Xiaochun Duan, Kartikeya Mayaram. Frequency-Domain Simulation of Ring Oscillators With a Multiple-Probe Method
2843 -- 2851Xiaochun Duan, Kartikeya Mayaram. Robust Simulation of High-Q Oscillators Using a Homotopy-Based Harmonic Balance Method
2852 -- 2867Peng Li. Statistical Sampling-Based Parametric Analysis of Power Grids
2868 -- 2881Zhao Li, C.-J. Richard Shi. A Quasi-Newton Preconditioned Newton-Krylov Method for Robust and Efficient Time-Domain Simulation of Integrated Circuits With Strong Parasitic Couplings
2882 -- 2893Pu Liu, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang. Fast Thermal Simulation for Runtime Temperature Tracking and Management
2894 -- 2903Satrajit Chatterjee, Alan Mishchenko, Robert K. Brayton, Xinning Wang, Timothy Kam. Reducing Structural Bias in Technology Mapping
2904 -- 2918Mehrdad Reshadi, Bita Gorjiara, Nikil D. Dutt. Generic Processor Modeling for Automatically Generating Very Fast Cycle-Accurate Simulators
2919 -- 2933Jingcao Hu, Ümit Y. Ogras, Radu Marculescu. System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design
2934 -- 2943Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy. A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor
2944 -- 2953Fei Su, Krishnendu Chakrabarty. Defect Tolerance Based on Graceful Degradation and Dynamic Reconfiguration for Digital Microfluidics-Based Biochips
2954 -- 2964Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas, Sreejit Chakravarty, Rathish Jayabharathi. Exact Delay Fault Coverage in Sequential Logic Under Any Delay Fault Model
2965 -- 2975Debjit Sinha, Hai Zhou. Statistical Timing Analysis With Coupling
2976 -- 2988Wei-Shen Wang, Michael Orshansky. Path-Based Statistical Timing Analysis Handling Arbitrary Delay Correlations: Theory and Implementation
2989 -- 2996Zaid Al-Ars, Said Hamdioui, A. J. van de Goor, Sultan M. Al-Harbi. Influence of Bit-Line Coupling and Twisting on the Faulty Behavior of DRAMs
2996 -- 3004Mario R. Casu, Luca Macchiarulo. Floorplanning With Wire Pipelining in Adaptive Communication Channels
3004 -- 3009Ruiming Chen, Hai Zhou. An Efficient Data Structure for Maxplus Merge in Dynamic Programming
3010 -- 3016Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava. Probabilistic Evaluation of Solutions in Variability-Driven Optimization
3017 -- 3025Mongkol Ekpanyapong, Michael B. Healy, Sung Kyu Lim. Profile-Driven Instruction Mapping for Dataflow Architectures
3026 -- 3035Stelios Neophytou, Maria K. Michael, Spyros Tragoudas. Functions for Quality Transition-Fault Tests and Their Applications in Test-Set Enhancement
3035 -- 3042Xiren Wang, Wenjian Yu, Zeyi Wang. Efficient Direct Boundary Element Method for Resistance Extraction of Substrate With Arbitrary Doping Profile
3042 -- 3044Hong Li, Wen-Yan Yin, Junfa Mao. Comments on Modeling of Metallic Carbon-Nanotube Interconnects for Circuit Simulations and a Comparison With Cu Interconnects for Sealed Technologies

Volume 25, Issue 11

2297 -- 2316Chao Wang, Bing Li, HoonSang Jin, Gary D. Hachtel, Fabio Somenzi. Improving Ariadne s Bundle by Following Multiple Threads in Abstraction Refinement
2317 -- 2330Pallav Gupta, Abhinav Agrawal, Niraj K. Jha. An Algorithm for Synthesis of Reversible Logic Circuits
2331 -- 2340Valavan Manohararajah, Stephen Dean Brown, Zvonko G. Vranesic. Heuristics for Area Minimization in LUT-Based FPGA Technology Mapping
2341 -- 2352C.-T. Hsieh, J.-C. Lin, S.-C. Chang. Vectorless Estimation of Maximum Instantaneous Current for Sequential Circuits
2353 -- 2363Nikolay Rubanov. A High-Performance Subcircuit Recognition Method Based on the Nonlinear Graph Optimization
2364 -- 2375Soheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh. A Unified Theory of Timing Budget Management
2376 -- 2392Jochen A. G. Jess, K. Kalafala, Srinath R. Naidu, Ralph H. J. M. Otten, Chandramouli Visweswariah. Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits
2402 -- 2412Hang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong. Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization
2413 -- 2426Paolo Maffezzoni, Lorenzo Codecasa, Dario D Amore. Event-Driven Time-Domain Simulation of Closed-Loop Switched Circuits
2427 -- 2436Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy. Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation
2437 -- 2449Lizheng Zhang, Weijen Chen, Yuhen Hu, John A. Gubner, Charlie Chung-Ping Chen. Correlation-Preserved Statistical Timing With a Quadratic Form of Gaussian Variables
2450 -- 2464Ronald D. Blanton, Kumar N. Dwarakanath, Rao Desineni. Defect Modeling Using Fault Tuples
2465 -- 2478Érika F. Cota, Chunsheng Liu. Constraint-Driven Test Scheduling for NoC-Based Systems
2479 -- 2491Petros Oikonomakos, Mark Zwolinski. An Integrated High-Level On-Line Test Synthesis Tool
2492 -- 2501Irith Pomeranz, Sudhakar M. Reddy. Improved n-Detection Test Sequences Under Transparent Scan
2502 -- 2512Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty. Thermal-Safe Test Scheduling for Core-Based System-on-Chip Integrated Circuits
2513 -- 2525Katherine Shu-Min Li, Chauchin Su, Yao-Wen Chang, Chung-Len Lee, Jwu E. Chen. IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults
2526 -- 2538Liang Zhang, Indradeep Ghosh, Michael S. Hsiao. A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage
2539 -- 2551Milos Hrkic, John Lillis, Giancarlo Beraudo. An Approach to Placement-Coupled Logic Replication
2552 -- 2556Hung-Ming Chen, I-Min Liu, Martin D. F. Wong. I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design
2556 -- 2564Aiman H. El-Maleh, S. Saqib Khursheed, Sadiq M. Sait. Efficient Static Compaction Techniques for Sequential Circuits Based on Reverse-Order Restoration and Test Relaxation
2564 -- 2571Feng Gao, John P. Hayes. Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction
2571 -- 2578Yutao Hu, Kartikeya Mayaram. Comparison of Algorithms for Frequency Domain Coupled Device and Circuit Simulation
2578 -- 2586Dimitrios Kagaris, P. Karpodinis, Dimitris Nikolos. On Obtaining Maximum-Length Sequences for Accumulator-Based Serial TPG
2586 -- 2594N.-C. Lai, S. J. Wang, Y.-H. Fu. Low-Power BIST With a Smoother and Scan-Chain Reorder Under Optimal Cluster Size
2594 -- 2605Fang Liu, Sule Ozev, Martin A. Brooke. Identifying the Source of BW Failures in High-Frequency Linear Analog Circuits Based on S-Parameter Measurements
2605 -- 2608P. Min, H. Yi, J. Song, S. Baeg, S. Park. Efficient Interconnect Test Patterns for Crosstalk and Static Faults

Volume 25, Issue 10

1889 -- 1903Lihong Zhang, Rabin Raut, Yingtao Jiang, Ulrich Kleine. Placement Algorithm in Analog-Layout Designs
1904 -- 1921Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Christos P. Sotiriou. Desynchronization: Synthesis of Asynchronous Circuits From Synchronous Specifications
1922 -- 1934Prasenjit Basu, Sayantan Das, Ansuman Banerjee, Pallab Dasgupta, P. P. Chakrabarti, Chunduri Rama Mohan, Limor Fix, Roy Armoni. Design-Intent Coverage - A New Paradigm for Formal Property Verification
1935 -- 1949J.-G. Lee, C. M. Kyung. PrePack: Predictive Packetizing Scheme for Reducing Channel Traffic in Transaction-Level Hardware/Software Co-Emulation
1950 -- 1968Sebastien Bilavarn, Guy Gogniat, Jean Luc Philippe, Lilian Bossuet. Design Space Pruning Through Early Estimations of Area/Delay Tradeoffs for FPGA Implementations
1969 -- 1989Chao Huang, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha. Use of Computation-Unit Integrated Memories in High-Level Synthesis
1990 -- 2000Dong-U Lee, Altaf Abdul Gaffar, Ray C. C. Cheung, Oskar Mencer, Wayne Luk, George A. Constantinides. Accuracy-Guaranteed Bit-Width Optimization
2001 -- 2011Sarma B. K. Vrudhula, Janet Meiling Wang, Praveen Ghanta. Hermite Polynomial Based Interconnect Analysis in the Presence of Process Variations
2012 -- 2022Anup Hosangadi, Farzan Fallah, Ryan Kastner. Optimizing Polynomial Expressions by Algebraic Factorization and Common Subexpression Elimination
2023 -- 2034Yan Lin, Lei He. Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction
2035 -- 2051Manish Verma, Lars Wehmeyer, Peter Marwedel. Cache-Aware Scratchpad-Allocation Algorithms for Energy-Constrained Embedded Systems
2052 -- 2061Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy. Modeling and Analysis of Leakage Currents in Double-Gate Technologies
2062 -- 2075N. Wong, V. Balakrishnan, C.-K. Koh, T. S. Ng. Two Algorithms for Fast and Accurate Passivity-Preserving Model Order Reduction
2076 -- 2087Andrew A. Kennings, Kristofer Vorwerk. Force-Directed Methods for Generic Placement
2088 -- 2102Gang Wang, Satish Sivaswamy, Cristinel Ababei, Kia Bazargan, Ryan Kastner, Elaheh Bozorgzadeh. Statistical Analysis and Design of HARP FPGAs
2103 -- 2117Lin Zhong, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha. RTL-Aware Cycle-Accurate Functional Power Estimation
2118 -- 2128J. Cervenka, W. Wessner, E. Al-Ani, Tibor Grasser, Siegfried Selberherr. Generation of Unstructured Meshes for Process and Device Simulation by Means of Partial Differential Equations
2129 -- 2139W. Wessner, J. Cervenka, Clemens Heitzinger, Andreas Hössinger, Siegfried Selberherr. Anisotropic Mesh Refinement for the Simulation of Three-Dimensional Semiconductor Manufacturing Processes
2140 -- 2155Ming Zhang, Naresh R. Shanbhag. Soft-Error-Rate-Analysis (SERA) Methodology
2156 -- 2169Dionysios Kouroussis, Rubil Ahmadi, Farid N. Najm. Voltage-Aware Static Timing Analysis
2170 -- 2180Chandramouli Visweswariah, K. Ravindran, K. Kalafala, Steven G. Walker, S. Narayan, Daniel K. Beece, J. Piaget, N. Venkateswaran, Jeffrey G. Hemmett. First-Order Incremental Block-Based Statistical Timing Analysis
2181 -- 2192Piet Engelke, Ilia Polian, Michel Renovell, Bernd Becker. Simulating Resistive-Bridging and Stuck-At Faults
2193 -- 2206Loganathan Lingappan, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha, Srimat T. Chakradhar. Test-Volume Reduction in Systems-on-a-Chip Using Heterogeneous and Multilevel Compression Techniques
2207 -- 2218Irith Pomeranz, Sudhakar M. Reddy. Generation of Functional Broadside Tests for Transition Faults
2219 -- 2227Irith Pomeranz, Sudhakar M. Reddy. Using Dummy Bridging Faults to Define Reduced Sets of Target Faults
2228 -- 2231Marc-André Cantin, Yvon Savaria, D. Prodanos, Pierre Lavoie. A Metric for Automatic Word-Length Determination of Hardware Datapaths
2231 -- 2241M. Capobianchi, V. Labay, F. Shi, G. Mizushima. Simulating the Electrical Behavior of Integrated Circuit Devices in the Presence of Thermal Interactions
2241 -- 2248Valentina Ciriani, Anna Bernasconi, Rolf Drechsler. Testability of SPP Three-Level Logic Networks in Static Fault Models
2248 -- 2257Jacob R. Minz, Sung Kyu Lim. Block-level 3-D Global Routing With an Application to 3-D Packaging
2258 -- 2264S.-W. Tu, Y.-W. Chang, J.-Y. Jou. RLC Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction
2264 -- 2275Janet Meiling Wang, Jun Li, Satish K. Yanamanamanda, Lakshmi Kalpana Vakati, Kishore Kumar Muchherla. Modeling the Driver Load in the Presence of Process Variations
2275 -- 2282Qingwei Wu, Michael S. Hsiao. State Variable Extraction and Partitioning to Reduce Problem Complexity for ATPG and Design Validation
2282 -- 2286Shu Yan, Vivek Sarin, Weiping Shi. Fast 3-D Capacitance Extraction by Inexact Factorization and Reduction
2287 -- 2293Bo Yang, Kaijie Wu, Ramesh Karri. Secure Scan: A Design-for-Test Architecture for Crypto Chips

Volume 25, Issue 1

4 -- 11Görschwin Fey, Rolf Drechsler. Minimizing the number of paths in BDDs: Theory and algorithm
12 -- 18Wenjian Yu, Mengsheng Zhang, Zeyi Wang. Efficient 3-D extraction of interconnect capacitance considering floating metal fills with boundary element method
19 -- 30Kyosun Kim, Ramesh Karri, Miodrag Potkonjak. Micropreemption synthesis: an enabling mechanism for multitask VLSI systems
31 -- 46María C. Molina, Rafael Ruiz-Sautua, Jose Manuel Mendias, Román Hermida. Bitwise scheduling to balance the computational cost of behavioral specifications
47 -- 57Jaewon Seo, Taewhan Kim, Joonwon Lee. Optimal intratask dynamic voltage-scaling technique and its practical extensions
58 -- 65Arijit Raychowdhury, Kaushik Roy. Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies
66 -- 78Jaijeet S. Roychowdhury, Robert C. Melville. Delivering global DC convergence for large mixed-signal circuits via homotopy/continuation methods
79 -- 91Kanad Chakraborty, Alexey Lvov, Maharaj Mukherjee. Novel algorithms for placement of rectangular covers for mask inspection in advanced lithography and other VLSI design applications
92 -- 110Li Shang, Li-Shiuan Peh, Niraj K. Jha. PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks
111 -- 125Ying Zhang, Krishnendu Chakrabarty. A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems
126 -- 143Imad A. Ferzli, Farid N. Najm. Analysis and verification of power grids considering process-induced leakage-current variations
144 -- 154Min Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda. Optimal placement of power-supply pads and pins
155 -- 166Quming Zhou, Kartik Mohanram. Gate sizing to radiation harden combinational logic
167 -- 180Josh Yang, Baosheng Wang, Yuejian Wu, André Ivanov. Fast detection of data retention faults and other SRAM cell open defects
181 -- 196Qiang Xu, Nicola Nicolici. Multifrequency TAM design for hierarchical SOCs
197 -- 204Shih-yu Yang, Christos A. Papachristou. A method for detecting interconnect DSM defects in systems on chip