Journal: IEEE Trans. VLSI Syst.

Volume 19, Issue 9

1525 -- 1534Milin Zhang, Amine Bermak. Quadrant-Based Online Spatial and Temporal Compressive Acquisition for CMOS Image Sensor
1535 -- 1548Vikram Pudi, K. Sridharan. Efficient Design of a Hybrid Adder in Quantum-Dot Cellular Automata
1549 -- 1558Tobias Strauch. Multi-FPGA System With Unlimited and Self-Timed Wave-Pipelined Multiplexed Routing
1559 -- 1568Fang Tang, Amine Bermak. A 4T Low-Power Linear-Output Current-Mediated CMOS Image Sensor
1569 -- 1582Paolo Magnone, Felice Crupi, Massimo Alioto, Ben Kaczer, Brice De Jaeger. Understanding the Potential and the Limits of Germanium pMOSFETs for VLSI Circuits From Experimental Measurements
1583 -- 1596John C. Koob, Sue Ann Ung, Bruce F. Cockburn, Duncan G. Elliott. Design and Characterization of a Multilevel DRAM
1597 -- 1609Avesta Sasan, Houman Homayoun, Ahmed M. Eltawil, Fadi J. Kurdahi. Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation
1610 -- 1616Kailash Chandrashekar, Bertan Bakkaloglu. A 10 b 50 MS/s Opamp-Sharing Pipeline A/D With Current-Reuse OTAs
1617 -- 1626Yufu Zhang, Ankur Srivastava. Accurate Temperature Estimation Using Noisy Thermal Sensors for Gaussian and Non-Gaussian Cases
1627 -- 1640Guihai Yan, Yinhe Han, Xiaowei Li. SVFD: A Versatile Online Fault Detection Scheme via Checking of Stability Violation
1641 -- 1654Krutartha Patel, Sri Parameswaran, Roshan G. Ragel. Architectural Frameworks for Security and Reliability of MPSoCs
1655 -- 1666Qi Wu, Tong Zhang. Design Techniques to Facilitate Processor Power Delivery in 3-D Processor-DRAM Integrated Systems
1667 -- 1680Rajeev K. Nain, Malgorzata Chrzanowska-Jeske. Fast Placement-Aware 3-D Floorplanning Using Vertical Constraints on Sequence Pairs
1681 -- 1694Yi-Ying Tsai, Chung-Ho Chen. Energy-Efficient Trace Reuse Cache for Embedded Processors
1695 -- 1703Naveen Verma. Analysis Towards Minimization of Total SRAM Energy Over Active and Idle Operating Modes
1704 -- 1717Ahmad Atghiaee, Nasser Masoumi. A Predictive and Accurate Interconnect Density Function: The Core of a Novel Interconnect-Centric Prediction Engine
1718 -- 1722Won-Joo Yun, Hyun-Woo Lee, Dongsuk Shin, Suki Kim. A 3.57 Gb/s/pin Low Jitter All-Digital DLL With Dual DCC Circuit for GDDR3 DRAM in 54-nm CMOS Technology
1723 -- 1726Upavan Gupta, Nagarajan Ranganathan. A Utilitarian Approach to Variation Aware Delay, Power, and Crosstalk Noise Optimization
1727 -- 1730Jaydeep P. Kulkarni, Ashish Goel, Patrick Ndai, Kaushik Roy. A Read-Disturb-Free, Differential Sensing 1R/1W Port, 8T Bitcell Array

Volume 19, Issue 8

1325 -- 1335Kuo-Hsing Cheng, Jen-Chieh Liu, Chih-Yu Chang, Shu-Yu Jiang, Kai-Wei Hong. Built-in Jitter Measurement Circuit With Calibration Techniques for a 3-GHz Clock Generator
1336 -- 1345Tse-Wei Chen, Shao-Yi Chien. Flexible Hardware Architecture of Hierarchical K-Means Clustering for Large Cluster Number
1346 -- 1356Yung-Fa Chou, Ding-Ming Kwai, Cheng-Wen Wu. Yield Enhancement by Bad-Die Recycling and Stacking With Though-Silicon Vias
1357 -- 1367Wei Xu, Tong Zhang. A Time-Aware Fault Tolerance Scheme to Improve Reliability of Multilevel Phase-Change Memory in the Presence of Significant Resistance Drift
1368 -- 1379Somnath Paul, Swarup Bhunia. Dynamic Transfer of Computation to Processor Cache for Yield and Reliability Improvement
1380 -- 1393Ho Fai Ko, Adam B. Kinsman, Nicola Nicolici. Design-for-Debug Architecture for Distributed Embedded Logic Analysis
1394 -- 1406Shota Ishihara, Masanori Hariyama, Michitaka Kameyama. A Low-Power FPGA Based on Autonomous Fine-Grain Power Gating
1407 -- 1417Kamran Eshraghian, Kyoung-Rok Cho, Omid Kavehei, Soon-Ku Kang, Derek Abbott, Sung-Mo Steve Kang. Memristor MOS Content Addressable Memory (MCAM): Hybrid Architecture for Future High Performance Search Engines
1418 -- 1428Xin Liu, Yuanjin Zheng, Bin Zhao, Yisheng Wang, Myint Wai Phyu. An Ultra Low Power Baseband Transceiver IC for Wireless Body Area Network in 0.18-mu m CMOS Technology
1429 -- 1437Ik Joon Chang, Jae-Joon Kim, Keejong Kim, Kaushik Roy. Robust Level Converter for Sub-Threshold/Super-Threshold Operation: 100 mV to 2.5 V
1438 -- 1447Moo-young Kim, Jinwoo Kim, Tagjong Lee, Chulwoo Kim. 10-bit 100-MS/s Pipelined ADC Using Input-Swapped Opamp Sharing and Self-Calibrated V/I Converter
1448 -- 1457Ming-Chao Tsai, Ting-Chi Wang, Ting Ting Hwang. Through-Silicon Via Planning in 3-D Floorplanning
1458 -- 1468Selçuk Köse, Emre Salman, Eby G. Friedman. Shielding Methodologies in the Presence of Power/Ground Noise
1469 -- 1480Mohammad Hosseinabady, Mohammad Reza Kakoee, Jimson Mathew, Dhiraj K. Pradhan. Low Latency and Energy Efficient Scalable Architecture for Massive NoCs Using Generalized de Bruijn Graph
1481 -- 1485Chua-Chin Wang, Chia-Hao Hsu, Szu-Chia Liao, Yi-Cheng Liu. A Wide Voltage Range Digital I/O Design Using Novel Floating N-Well Circuit
1485 -- 1489Karim Mohammed, M. I. A. Mohamed, Babak Daneshrad. A Parameterized Programmable MIMO Decoding Architecture With a Scalable Instruction Set and Compiler
1490 -- 1495Jeonghun Kim, Hanjun Choi, Sungyeal Yoon, Taesik Bang, Jongchan Park, Chaehyun Jung, Jason Cong. An 8M Polygons/s 3-D Graphics SoC With Full Hardware Geometric and Rendering Engine for Mobile Applications
1495 -- 1499Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn. Hardware Implementation of Rayleigh and Ricean Variate Generators
1500 -- 1503Kazeem Alagbe Gbolagade, George Razvan Voicu, Sorin Cotofana. An Efficient FPGA Design of Residue-to-Binary Converter for the Moduli Set 2n+1, 2n, 2n-1
1504 -- 1507Swaroop Ghosh, Kaushik Roy. Novel Low Overhead Post-Silicon Self-Correction Technique for Parallel Prefix Adders Using Selective Redundancy and Adaptive Clocking
1508 -- 1512Chester Rebeiro, Sujoy Sinha Roy, Sankara Reddy, Debdeep Mukhopadhyay. Revisiting the Itoh-Tsujii Inversion Algorithm for FPGA Platforms
1512 -- 1517Jyu-Yuan Lai, Chih-Tsun Huang. Energy-Adaptive Dual-Field Processor for High-Performance Elliptic Curve Cryptographic Applications
1517 -- 1521Golnar Khodabandehloo, Mitra Mirhassani, Majid Ahmadi. CVNS-Based Storage and Refreshing Scheme for a Multi-Valued Dynamic Memory

Volume 19, Issue 7

1125 -- 1135Hyun Choi, Alfred V. Gomes, Abhijit Chatterjee. Signal Acquisition of High-Speed Periodic Signals Using Incoherent Sub-Sampling and Back-End Signal Reconstruction Algorithms
1136 -- 1146Atsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh. Systematic Design of RSA Processors Based on High-Radix Montgomery Multipliers
1147 -- 1153Marco Bucci, Luca Giancane, Raimondo Luzzi, Giuseppe Scotti, Alessandro Trifiletti. Delay-Based Dual-Rail Precharge Logic
1154 -- 1166Yulei Zhang, Xiang Hu, Alina Deutsch, A. Ege Engin, James F. Buckwalter, Chung-Kuan Cheng. Prediction and Comparison of High-Performance On-Chip Global Interconnection
1167 -- 1180Wei-Chih Hsieh, Wei Hwang. Adaptive Power Control Technique on Power-Gated Circuitries
1181 -- 1191Akhilesh Kumar, Mohab Anis. IR-Drop Aware Clustering Technique for Robust Power Grid in FPGAs
1192 -- 1204Hao-I Yang, Wei Hwang, Ching-Te Chuang. Impacts of NBTI/PBTI and Contact Resistance on Power-Gated SRAM With High-kappa Metal-Gate Devices
1205 -- 1217Yamarita Villavicencio, Francesco Musolino, Franco Fiori. Electrical Model of Microcontrollers for the Prediction of Electromagnetic Emissions
1218 -- 1228Kuo-Hsing Cheng, Kai-Wei Hong, Chi-Hsiang Chen, Jen-Chieh Liu. A High Precision Fast Locking Arbitrary Duty Cycle Clock Synchronization Circuit
1229 -- 1238Xinmiao Zhang, Fang Cai. Reduced-Complexity Decoder Architecture for Non-Binary LDPC Codes
1239 -- 1248Yasser Ismail, Mohsen Shaaban, Jason McNeely, Magdy A. Bayoumi. An Efficient Adaptive High Speed Manipulation Architecture for Fast Variable Padding Frequency Domain Motion Estimation
1249 -- 1262Woohyung Chun, Sungroh Yoon, Sangjin Hong. Buffer Controller-Based Multiple Processing Element Utilization for Dataflow Synthesis
1263 -- 1276Juan Antonio Clemente, Javier Resano, Carlos González, Daniel Mozos. A Hardware Implementation of a Run-Time Scheduler for Reconfigurable Systems
1277 -- 1290Shantanu Dutt, Huan Ren. Discretized Network Flow Techniques for Timing and Wire-Length Driven Incremental Placement With White-Space Satisfaction
1291 -- 1304Huan Ren, Shantanu Dutt. A Provably High-Probability White-Space Satisfaction Algorithm With Good Performance for Standard-Cell Detailed Placement
1305 -- 1309David C. W. Ng, David K. K. Kwong, Ngai Wong. A Sub-1 V, 26 muW, Low-Output-Impedance CMOS Bandgap Reference With a Low Dropout or Source Follower Mode
1310 -- 1315I. Jung, D. Shin, T. Kim, C. Kim. A 140 Mb/s to 1.96 Gb/s Referenceless Transceiver With 7.2 mus Frequency Acquisition Time
1315 -- 1319S. Lin, Y. B. Kim, F. Lombardi. Design and Performance Evaluation of Radiation Hardened Latches for Nanoscale CMOS
1319 -- 1323Hao Xu, Wen-Ben Jone, Ranga Vemuri. Aggressive Runtime Leakage Control Through Adaptive Light-Weight V::th:: Hopping With Temperature and Process Variation

Volume 19, Issue 6

925 -- 938H.-C. Kuo, L.-C. Wu, H.-T. Huang, S. T. Hsu, Y.-L. Lin. A Low-Power High-Performance H.264/AVC Intra-Frame Encoder for 1080pHD Video
939 -- 952C.-W. Lin, M. C.-T. Chao, Y.-S. Huang. A Novel Pixel Design for AM-OLED Displays Using Nanocrystalline Silicon TFTs
953 -- 962Arindam Basu, Paul E. Hasler. A Fully Integrated Architecture for Fast and Accurate Programming of Floating Gates Over Six Decades of Current
963 -- 972Satendra Kumar Maurya, Lawrence T. Clark. A Dynamic Longest Prefix Matching Content Addressable Memory for IP Routing
973 -- 986J. G. Mueller, Resve A. Saleh. Autonomous, Multilevel Ring Tuning Scheme for Post-Silicon Active Clock Deskewing Over Intra-Die Variations
987 -- 996Y. Ye, F. Liu, M. Chen, S. Nassif, Y. Cao. Statistical Modeling and Simulation of Threshold Variation Under Random Dopant Fluctuations and Line-Edge Roughness
997 -- 1010M. Wang, Z. Shao, J. Xue. On Reducing Hidden Redundant Memory Accesses for DSP Applications
1011 -- 1022Jia Zhao, Sailaja Madduri, Ramakrishna Vadlamani, Wayne Burleson, Russell Tessier. A Dedicated Monitoring Infrastructure for Multicore Processors
1023 -- 1033Saleh Abdel-Hafeez, Ann Gordon-Ross. A Digital CMOS Parallel Counter Architecture Based on State Look-Ahead Logic
1034 -- 1047C. Kyrkou, Theocharis Theocharides. A Flexible Parallel Hardware Architecture for AdaBoost-Based Real-Time Object Detection
1048 -- 1061Markus Koester, Wayne Luk, Jens Hagemeyer, Mario Porrmann, Ulrich Rückert. Design Optimizations for Tiled Partially Reconfigurable Systems
1062 -- 1074Giovanni Ansaloni, Paolo Bonzini, Laura Pozzi. EGRA: A Coarse Grained Reconfigurable Architectural Template
1075 -- 1085Jonathan Rosenfeld, Eby G. Friedman. A Distributed Filter Within a Switching Converter for Application to 3-D Integrated Circuits
1086 -- 1089Muhammad E. S. Elrabaa. Robust Two-Phase RZ Asynchronous SoC Interconnects
1090 -- 1094Dimitris Magos, Ioannis Voyiatzis, Steffen Tarnick. An Accumulator - Based Test-Per-Clock Scheme
1094 -- 1098Irith Pomeranz, Sudhakar M. Reddy. On Functional Broadside Tests With Functional Propagation Conditions
1099 -- 1103Sangmin Kim, Gerald E. Sobelman, Hanho Lee. A Reduced-Complexity Architecture for LDPC Layered Decoding Schemes
1104 -- 1108Irith Pomeranz, Sudhakar M. Reddy. Broadside and Functional Broadside Tests for Partial-Scan Circuits
1108 -- 1112Irith Pomeranz, Sudhakar M. Reddy. Static Test Data Volume Reduction Using Complementation or Modulo- M Addition
1113 -- 1117D. Sheng, C.-C. Chung, C.-Y. Lee. A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications
1118 -- 1122Jing-hu Li, Xing-Bao Zhang, Ming-Yan Yu. A 1.2-V Piecewise Curvature-Corrected Bandgap Reference in 0.5 μ m CMOS Process

Volume 19, Issue 5

725 -- 736Massimo Alioto, Elio Consoli, Gaetano Palumbo. Analysis and Comparison in the Energy-Delay-Area Domain of Nanometer CMOS Flip-Flops: Part I - Methodology and Design Strategies
737 -- 750Massimo Alioto, Elio Consoli, Gaetano Palumbo. Analysis and Comparison in the Energy-Delay-Area Domain of Nanometer CMOS Flip-Flops: Part II - Results and Figures of Merit
751 -- 762Massimo Alioto. Comparative Evaluation of Layout Density in 3T, 4T, and MT FinFET Standard Cells
763 -- 773Hailong Jiao, Volkan Kursun. Ground Bouncing Noise Suppression Techniques for Data Preserving Sequential MTCMOS Circuits
774 -- 786Renatas Jakushokas, Eby G. Friedman. Multi-Layer Interdigitated Power Distribution Networks
787 -- 795John Keane, S. Venkatraman, Paulo F. Butzen, Chris H. Kim. An Array-Based Test Circuit for Fully Automated Gate Dielectric Breakdown Characterization
796 -- 808Server Kasap, Khaled Benkrid. High Performance Phylogenetic Analysis With Maximum Parsimony on Reconfigurable Hardware
809 -- 817Subho Chatterjee, Mitchelle Rasquinha, Sudhakar Yalamanchili, Saibal Mukhopadhyay. A Scalable Design Methodology for Energy Minimization of STTRAM: A Circuit and Architecture Perspective
818 -- 831Woohyung Chun, Sungroh Yoon, Sangjin Hong. Energy-Aware Interconnect Resource Reduction Through Buffer Access Manipulation for Data-Centric Applications
832 -- 845Jintae Kim, S. Limotyrakis, Chih-Kong Ken Yang. Multilevel Power Optimization of Pipelined A/D Converters
846 -- 856Jingye Xu, Masud H. Chowdhury. Fast Waveform Estimation (FWE) for Timing Analysis
857 -- 868Xin Chen, Jun Yang, Longxing Shi. A Fast Locking All-Digital Phase-Locked Loop via Feed-Forward Compensation Technique
869 -- 882Rajiv V. Joshi, Rouwaida Kanj, V. Ramadurai. A Novel Column-Decoupled 8T Cell for Low-Power Differential and Domino-Based SRAM Design
883 -- 894Mohammad Sharifkhani, E. Rahiminejad, Shah M. Jahinuzzaman, Manoj Sachdev. A Compact Hybrid Current/Voltage Sense Amplifier With Offset Cancellation for High-Speed SRAMs
895 -- 899Markus Myllylä, Joseph R. Cavallaro, Markku J. Juntti. Architecture Design and Implementation of the Metric First List Sphere Detector Algorithm
900 -- 904Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi. A 11-Transistor Nanoscale CMOS Memory Cell for Hardening to Soft Errors
904 -- 909Ren-Jie Lee, Hung-Ming Chen. Efficient Package Pin-Out Planning With System Interconnects Optimization for Package-Board Codesign
909 -- 914Jiajing Wang, A. Hoefler, Benton H. Calhoun. An Enhanced Canary-Based System With BIST for SRAM Standby Power Reduction
914 -- 918Peiyi Zhao, Jason McNeely, Weidong Kuang, Nan Wang, Zhongfeng Wang. Design of Sequential Elements for Low Power Clocking System
918 -- 923Koustav Bhattacharya, N. Ranganathan. Placement for Immunity of Transient Faults in Cell-Based Design of Nanometer Circuits

Volume 19, Issue 4

525 -- 537Jae-Sung Yoon, Chang-Hyo Yu, Donghyun Kim, Lee-Sup Kim. A Dual-Shader 3-D Graphics Processor With Fast 4-D Vector Inner Product Units and Power-Aware Texture Cache
538 -- 547Shoushun Chen, Amine Bermak, Yan Wang. A CMOS Image Sensor With On-Chip Image Compression Based on Predictive Boundary Adaptation and Memoryless QTD Algorithm
548 -- 558Xuebin Wu, Zhiyuan Yan. Efficient CODEC Designs for Crosstalk Avoidance Codes Based on Numeral Systems
559 -- 570Ehab Anis Daoud, Nicola Nicolici. Embedded Debug Architecture for Bypassing Blocking Bugs During Post-Silicon Validation
571 -- 584Fu-Ching Yang, Yi-Ting Lin, Chung-Fu Kao, Ing-Jer Huang. An On-Chip AHB Bus Tracer With Real-Time Compression and Dynamic Multiresolution Supports for SoC
585 -- 591Tsung-Hsien Lin, Chao-Ching Chi, Wei-Hao Chiu, Yu-Hsiang Huang. A Synchronous 50 Duty-Cycle Clock Generator in 0.35- μ m CMOS
592 -- 602Shih-Yuan Kao, Shen-Iuan Liu. A Digitally-Calibrated Phase-Locked Loop With Supply Sensitivity Suppression
603 -- 614Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar. Adaptive Techniques for Overcoming Performance Degradation Due to Aging in CMOS Circuits
615 -- 628Yu Wang 0002, Xiaoming Chen, Wenping Wang, Yu Cao, Yuan Xie, Huazhong Yang. Leakage Power and Circuit Aging Cooptimization by Gate Replacement Techniques
629 -- 637Yuejian Wu, Sandy Thomson, Dale Mutcher, Eric Hall. Built-In Functional Tests for Silicon Validation and System Integration of Telecom SoC Designs
638 -- 646Qiang Zhou, Jin Shi, Bin Liu 0007, Yici Cai. Floorplanning Considering IR Drop in Multiple Supply Voltages Island Designs
647 -- 658Nauman H. Khan, Syed M. Alam, Soha Hassoun. Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies
659 -- 667Chun-Yu Hsieh, Hong-Wei Huang, Ke-Horng Chen. A 1-V, 16.9 ppm/ ^{/circ} C, 250 nA Switched-Capacitor CMOS Voltage Reference
668 -- 681Young-Hwan Park, Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt. A Multi-Granularity Power Modeling Methodology for Embedded Processors
682 -- 695Chong-Fatt Law, Bah-Hwee Gwee, Joseph Sylvester Chang. Modeling and Synthesis of Asynchronous Pipelines
696 -- 700Inwook Kong, Earl E. Swartzlander Jr.. A Goldschmidt Division Method With Faster Than Quadratic Convergence
701 -- 705Jaehyouk Choi, Stephen T. Kim, Woonyun Kim, Kwan-Woo Kim, Kyutae Lim, Joy Laskar. A Low Power and Wide Range Programmable Clock Generator With a High Multiplication Factor
705 -- 709Hsieh-Hung Hsieh, Huan-Sheng Chen, Ping-Hsi Hung, Liang-Hung Lu. Experimental 5-GHz RF Frontends for Ultra-Low-Voltage and Ultra-Low-Power Operations
709 -- 714Yuan-Ho Chen, Tsin-Yuan Chang, Chung-Yi Li. High Throughput DA-Based DCT With High Accuracy Error-Compensated Adder Tree
714 -- 717Anita Kumari, Sanjukta Bhanja. Landauer Clocking for Magnetic Cellular Automata (MCA) Arrays
718 -- 721Mariano Aguirre-Hernandez, Mónico Linares Aranda. CMOS Full-Adders for Energy-Efficient Arithmetic Applications

Volume 19, Issue 3

349 -- 368Yehea I. Ismail. Editorial
369 -- 382Kenneth S. Stevens, Pankaj Golani, Peter A. Beerel. Energy and Performance Models for Synchronous and Asynchronous Communication
383 -- 396Kevin Brownell, Ali Durlov Khan, Gu-Yeon Wei, David Brooks. Automating Design of Voltage Interpolation to Address Process Variations
397 -- 410Stojan Z. Denic, Bane V. Vasic, Charalambos D. Charalambous, Jifeng Chen, Janet Meiling Wang. Information Theoretic Modeling and Analysis for Global Interconnects With Process Variations
411 -- 419Xiaoke Qin, Chetan Muthry, Prabhat Mishra. Decoding-Aware Compression of FPGA Bitstreams
420 -- 428Costas Argyrides, Dhiraj K. Pradhan, Taskin Koçak. Matrix Codes for Reliable and Cost Efficient Memory Chips
429 -- 442Sotirios Xydis, George Economakos, Dimitrios Soudris, Kiamal Z. Pekmestzi. High Performance and Area Efficient Flexible DSP Datapath Synthesis
443 -- 456Debasish Das, Ahmed Shebaita, Hai Zhou, Yehea I. Ismail, Kip Killpack. FA-STAC: An Algorithmic Framework for Fast and Accurate Coupling Aware Static Timing Analysis
457 -- 468Aida Todri, Malgorzata Marek-Sadowska. Reliability Analysis and Optimization of Power-Gated ICs
469 -- 482Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino. Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits
483 -- 493Wei Xu, Hongbin Sun, XiaoBin Wang, Yiran Chen, Tong Zhang. Design of Last-Level On-Chip Cache Using Spin-Torque Transfer RAM (STT RAM)
494 -- 498Yongho Lee, Deog Kyoon Jeong, Taewhan Kim. Comprehensive Analysis and Control of Design Parameters for Power Gated Circuits
499 -- 503Adam B. Kinsman, Nicola Nicolici. A VLSI Architecture and the FPGA Prototype for MPEG-2 Audio/Video Decoding
503 -- 507Sheng-Chuan Liang, Hao-Chiao Hong. A Digitally Testable Sigma -Delta Modulator Using the Decorrelating Design-for-Digital-Testability
508 -- 512Yiyu Shi, Jinjun Xiong, Howard Chen, Lei He. Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator
512 -- 516Francisco J. Jaime, M. A. Sánchez, Javier Hormigo, Julio Villalba, Emilio L. Zapata. High-Speed Algorithms and Architectures for Range Reduction Computation
516 -- 520Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee. Effective Hybrid Test Program Development for Software-Based Self-Testing of Pipeline Processor Cores
520 -- 524Ling Zhang, Yulei Zhang, Hongyu Chen, Bo Yao, Kevin Hamilton, Chung-Kuan Cheng. On-Chip Interconnect Analysis of Performance and Energy Metrics Under Different Design Goals

Volume 19, Issue 2

173 -- 174N. K. Jha. Editorial Announcing a New Editor-in-Chief
175 -- 181Tien-Yu Lo, Chung-Chih Hung. A 1 GHz Equiripple Low-Pass Filter With a High-Speed Automatic Tuning Scheme
182 -- 195Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry. Analytical Soft Error Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold SRAM Cells
196 -- 204Anh-Tuan Do, Zhi-Hui Kong, Kiat Seng Yeo, Jeremy Yung Shern Low. Design and Sensitivity Analysis of a New Current-Mode Sense Amplifier for Low-Power SRAM
205 -- 216Jongyoon Jung, Taewhan Kim. Scheduling and Resource Binding Algorithm Considering Timing Variation
217 -- 226Hariharan Sankaran, Srinivas Katkoori. Simultaneous Scheduling, Allocation, Binding, Re-Ordering, and Encoding for Crosstalk Pattern Minimization During High-Level Synthesis
227 -- 236Jun Seomun, Youngsoo Shin. Design and Optimization of Power-Gated Circuits With Autonomous Data Retention
237 -- 249Hao Xu, Ranga Vemuri, Wen-Ben Jone. Dynamic Characteristics of Power Gating During Mode Transition
250 -- 263Yao Guo, Pritish Narayanan, Mahmoud A. Bennaser, Saurabh Chheda, Csaba Andras Moritz. Energy-Efficient Hardware Data Prefetching
264 -- 273Jae-sun Seo, Himanshu Kaul, Ram Krishnamurthy, Dennis Sylvester, David Blaauw. A Robust Edge Encoding Technique for Energy-Efficient Multi-Cycle Interconnect
274 -- 282Judith Liu-Jimenez, Raul Sánchez-Reillo, Belen Fernandez-Saavedra. Iris Biometrics for Embedded Systems
283 -- 294Ping Chen, Andy Ye. The Effect of Multi-Bit Correlation on the Design of Field-Programmable Gate Array Routing Resources
295 -- 304Rakesh Gnana David Jeyasingh, Navakanta Bhat, Bharadwaj S. Amrutur. Adaptive Keeper Design for Dynamic Logic Circuits Using Rate Sensing Technique
305 -- 318Cheng-Hung Lin, Chun-Yu Chen, An-Yeu Wu. Area-Efficient Scalable MAP Processor Design for High-Throughput Multistandard Convolutional Turbo Decoding
319 -- 332Ramachandra Achar, Michel S. Nakhla, Harjot S. Dhindsa, Arvind R. Sridhar, Douglas Paul, Natalie Nakhla. Parallel and Scalable Transient Simulator for Power Grids via Waveform Relaxation (PTS-PWR)
333 -- 337Irith Pomeranz, Sudhakar M. Reddy. Input Necessary Assignments for Testing of Path Delay Faults in Standard-Scan Circuits
338 -- 341Shu-Yi Wong, Chunhong Chen, Q. M. Jonathan Wu. Low Power Chien Search for BCH Decoder Using RT-Level Power Management
342 -- 346Sourajeet Roy, Anestis Dounavis. Efficient Delay and Crosstalk Modeling of RLC Interconnects Using Delay Algebraic Equations

Volume 19, Issue 12

2141 -- 2148Ajay Taparia, Bhaskar Banerjee, Thayamkulangara R. Viswanathan. CS-CMOS: A Low-Noise Logic Family for Mixed Signal SoCs
2149 -- 2157Jian-Wen Chen, Ruo He Yao, Wei Jing Wu. n+1 Multipliers
2158 -- 2169Jin-Fu Lin, Soon-Jyh Chang, Te-Chieh Kung, Hsin-Wen Ting, Chih-Hao Huang. Transition-Code Based Linearity Test Method for Pipelined ADCs With Digital Error Correction
2170 -- 2183Mango Chia-Tso Chao, Ching-Yu Chin, Yao-Te Tsou, Chi-Min Chang. A Novel Test Flow for One-Time-Programming Applications of NROM Technology
2184 -- 2194Chin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu, Kun-Lun Luo, Wen Ching Wu. A Built-in Self-Diagnosis and Repair Design With Fail Pattern Identification for Memories
2195 -- 2208Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton. Performance and Cost Tradeoffs in Metal-Programmable Structured ASICs (MPSAs)
2209 -- 2220Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman. Gate Leakage Impact on Full Open Defects in Interconnect Lines
2221 -- 2228Seok Jae Lee, Ji-Woong Choi, Seon Wook Kim, Jongsun Park. A Reconfigurable FIR Filter Architecture to Trade Off Filter Performance for Dynamic Power Consumption
2229 -- 2242Joydip Das, Andrew Lam, Steven J. E. Wilton, Philip Heng Wai Leong, Wayne Luk. An Analytical Model Relating FPGA Architecture to Logic Density and Depth
2243 -- 2255Aida Todri, Malgorzata Marek-Sadowska. Power Delivery for Multicore Systems
2256 -- 2266Vasilis F. Pavlidis, Ioannis Savidis, Eby G. Friedman. Clock Distribution Networks in 3-D Integrated Systems
2267 -- 2275Bharadwaj Amrutur, Pratap Kumar Das, Rajath Vasudevamurthy. 0.84 ps Resolution Clock Skew Measurement via Subsampling
2276 -- 2289Zyad Hassan, Nicholas Allec, Fan Yang, Li Shang, Robert P. Dick, Xuan Zeng. Full-Spectrum Spatial-Temporal Dynamic Thermal Analysis for Nanometer-Scale Integrated Circuits
2290 -- 2302Pei-Yun Tsai, Chung-Yi Lin. A Generalized Conflict-Free Memory Addressing Scheme for Continuous-Flow Parallel-Processing FFT Processors With Rescheduling
2303 -- 2316Houman Homayoun, Avesta Sasan, Alexander V. Veidenbaum, Hsin-Cheng Yao, Shahin Golshan, Payam Heydari. MZZ-HVS: Multiple Sleep Modes Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On-Chip SRAM Peripheral Circuits
2317 -- 2321Sun-Mi Park, Ku-Young Chang. m)
2322 -- 2325Martin Omaña, Cecilia Metra, T. M. Mak, Simon Tam. Low-Cost Dynamic Compensation Scheme for Local Clocks of Next Generation High Performance Microprocessors
2326 -- 2330Roberto Gutierrez, Javier Valls. Low Cost Hardware Implementation of Logarithm Approximation
2330 -- 2335Xrysovalantis Kavousianos, Vasileios Tenentes, Krishnendu Chakrabarty, Emmanouil Kalligeros. Defect-Oriented LFSR Reseeding to Target Unmodeled Defects Using Stuck-at Test Sets
2335 -- 2338Shibaji Banerjee, Jimson Mathew, Dhiraj K. Pradhan, Bhargab B. Bhattacharya, Saraju P. Mohanty. A Routing-Aware ILS Design Technique
2339 -- 2342David Kidd, Keven Dunn, Steve Nishimoto, Lief O'Donnell, D. Rodriguez. High Productivity Circuit Methodology for a Semi-Custom Embedded Processor

Volume 19, Issue 11

1937 -- 1948Chih-Rung Chen, Wei-Su Wong, Ching-Te Chiu. 2 Real-Time Cascade Face Detection Design Based on Reduced Two-Field Extraction
1949 -- 1959Juliana Gjanci, Masud H. Chowdhury. A Hybrid Scheme for On-Chip Voltage Regulation in System-On-a-Chip (SOC)
1960 -- 1968Ajay Taparia, Bhaskar Banerjee, Thayamkulangara R. Viswanathan. Power-Supply Noise Reduction Using Active Inductors in Mixed-Signal Systems
1969 -- 1982Minjin Zhang, Huawei Li, Xiaowei Li 0001. Path Delay Test Generation Toward Activation of Worst Case Coupling Effects
1983 -- 1995Tsu-Wei Tseng, Jin-Fu Li. A Low-Cost Built-In Redundancy-Analysis Scheme for Word-Oriented RAMs With 2-D Redundancy
1996 -- 2009Chengmo Yang, Alex Orailoglu. Full Fault Resilience and Relaxed Synchronization Requirements at the Cache-Memory Interface
2010 -- 2022Mehdi Modarressi, Arash Tavakkol, Hamid Sarbazi-Azad. Application-Aware Topology Reconfiguration for On-Chip Networks
2023 -- 2032Sansiri Tanachutiwat, Ming Liu, Wei Wang 0003. FPGA Based on Integration of CMOS and RRAM
2033 -- 2044Yee Jern Chong, Sri Parameswaran. Configurable Multimode Embedded Floating-Point Units for FPGAs
2045 -- 2057Debora Matos, Caroline Concatto, Márcio Eduardo Kreutz, Fernanda Lima Kastensmidt, Luigi Carro, Altamiro Amadeu Susin. Reconfigurable Routers for Low Power and High Performance
2058 -- 2066Kiichi Niitsu, Vishwesh V. Kulkarni, Shinmo Kang, Hiroki Ishikuro, Tadahiro Kuroda. A 14-GHz AC-Coupled Clock Distribution Scheme With Phase Averaging Technique Using Single LC-VCO and Distributed Phase Interpolators
2067 -- 2080M. Paul, P. Petrov. Dynamically Adaptive I-Cache Partitioning for Energy-Efficient Embedded Multitasking
2081 -- 2094Houman Homayoun, Avesta Sasan, Jean-Luc Gaudiot, Alexander V. Veidenbaum. Reducing Power in All Major CAM and SRAM-Based Processor Units via Centralized, Dynamic Resource Size Management
2095 -- 2108Jonathan Rosenfeld, Eby G. Friedman. Linear and Switch-Mode Conversion in 3-D Circuits
2109 -- 2119Chao Lu, Chi-Ying Tsui, Wing-Hung Ki. Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
2120 -- 2125Jiajing Wang, Benton H. Calhoun. Minimum Supply Voltage and Yield Estimation for Large SRAMs Under Parametric Variations
2125 -- 2129Arash Hariri, Arash Reyhani-Masoleh. Digit-Level Semi-Systolic and Systolic Structures for the Shifted Polynomial Basis Multiplication Over Binary Extension Fields
2130 -- 2134Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry. A Bias-Dependent Model for the Impact of Process Variations on the SRAM Soft Error Immunity
2135 -- 2139Frank P. Burns, Alexandre V. Bystrov, Albert Koelmans, Alexandre Yakovlev. Security Evaluation of Balanced 1-of- n Circuits

Volume 19, Issue 10

1733 -- 1745Manas Ranjan Meher, Ching-Chuen Jong, Chip-Hong Chang. A High Bit Rate Serial-Serial Multiplier With On-the-Fly Accumulation by Asynchronous Counters
1746 -- 1754Luca Henzen, Jean-Philippe Aumasson, Willi Meier, Raphael Chung-Wei Phan. VLSI Characterization of the Cryptographic Hash Function BLAKE
1755 -- 1764Irith Pomeranz, Sudhakar M. Reddy. Reducing the Storage Requirements of a Test Sequence by Using One or Two Background Vectors
1765 -- 1774Xuan-Lun Huang, Jiun-Lang Huang. ADC/DAC Loopback Linearity Testing by DAC Output Offsetting and Scaling
1775 -- 1786Mehdi Baradaran Tahoori. High Resolution Application Specific Fault Diagnosis of FPGAs
1787 -- 1800Ying Zhang, Huawei Li, Yinghua Min, Xiaowei Li. Selected Transition Time Adjustment for Tolerating Crosstalk Effects on Network-on-Chip Interconnects
1801 -- 1812Yu Wang, Jiang Xu, Yan Xu, Weichen Liu, Huazhong Yang. Power Gating Aware Task Scheduling in MPSoC
1813 -- 1822Cathy Qun Xu, Chun Jason Xue, Edwin Hsing-Mean Sha. Energy-Efficient Joint Scheduling and Application-Specific Interconnection Design
1823 -- 1836Zhuo Feng, Zhiyu Zeng, Peng Li. Parallel On-Chip Power Distribution Network Analysis on Multi-Core-Multi-GPU Platforms
1837 -- 1847Vinayak Honkote, Baris Taskin. CROA: Design and Analysis of the Custom Rotary Oscillatory Array
1848 -- 1860Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry. A Novel Low Area Overhead Direct Adaptive Body Bias (D-ABB) Circuit for Die-to-Die and Within-Die Variations Compensation
1861 -- 1873Gautam Hazari, H. Narayanan. On the Use of Simple Electrical Circuit Techniques for Performance Modeling and Optimization in VLSI Systems
1874 -- 1883Yu-Shih Su, Da-Chung Wang, Shih-Chieh Chang, Malgorzata Marek-Sadowska. Performance Optimization Using Variable-Latency Design Style
1884 -- 1897Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs
1898 -- 1902Martin Grymel, Steve Furber. A Novel Programmable Parallel CRC Circuit
1902 -- 1907Kiichi Niitsu, Yasufumi Sugimori, Yoshinori Kohama, Kenichi Osada, Naohiko Irie, Hiroki Ishikuro, Tadahiro Kuroda. Analysis and Techniques for Mitigating Interference From Power/Signal Lines and to SRAM Circuits in CMOS Inductive-Coupling Link for Low-Power 3-D System Integration
1907 -- 1911Irith Pomeranz, Sudhakar M. Reddy. Test Strength: A Quality Metric for Transition Fault Tests in Full-Scan Circuits
1911 -- 1916Behzad Ebrahimi, Masoud Rostami, Ali Afzali-Kusha, Massoud Pedram. Statistical Design Optimization of FinFET SRAM Using Back-Gate Voltage
1916 -- 1921Amin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi. Embedded Memories Fault-Tolerant Pre- and Post-Silicon Optimization
1921 -- 1926Lok-Won Kim, John D. Villasenor. A System-On-Chip Bus Architecture for Thwarting Integrated Circuit Trojan Horses
1926 -- 1931Elham Safi, Andreas Moshovos, Andreas G. Veneris. Two-Stage, Pipelined Register Renaming
1931 -- 1935Mostafa E. Salehi, Mehrzad Samadi, Mehrdad Najibi, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie. Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs

Volume 19, Issue 1

1 -- 9Myint Wai Phyu, Kangkang Fu, Wang Ling Goh, Kiat Seng Yeo. Power-Efficient Explicit-Pulsed Dual-Edge Triggered Sense-Amplifier Flip-Flops
10 -- 23Alexandru Andrei, Petru Eles, Olivera Jovanovic, Marcus T. Schmitz, Jens Ogniewski, Zebo Peng. Quasi-Static Voltage Scaling for Energy Minimization With Time Constraints
24 -- 32Saibal Mukhopadhyay, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang. SRAM Write-Ability Improvement With Transient Negative Bit-Line Voltage
33 -- 41Cheng-Hung Lin, Shih-Chieh Chang. Efficient Pattern Matching Algorithm for Memory Architecture
42 -- 51Marco D. Santambrogio, Renato Stefanelli. A New Compact SD2 Positive Integer Triangular Array Division Circuit
52 -- 60Jiun-Ping Wang, Shiann-Rong Kuang, Shish-Chang Liang. High-Accuracy Fixed-Width Modified Booth Multipliers for Lossy Applications
61 -- 70Joonhee Lee, Sunghyun Park, SeongHwan Cho. A 470-µW 5-GHz Digitally Controlled Injection-Locked Multi-Modulus Frequency Divider With an In-Phase Dual-Input Injection Scheme
71 -- 84Ian Kuon, Jonathan Rose. Exploring Area and Delay Tradeoffs in FPGAs With Architecture and Automated Transistor Design
85 -- 91Mehran Mozaffari Kermani, Arash Reyhani-Masoleh. A Lightweight High-Performance Fault Detection Scheme for the Advanced Encryption Standard Using Composite Fields
92 -- 103Shahin Nazarian, Hanif Fatemi, Massoud Pedram. Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling
104 -- 117Jason Nemeth, Rui Min, Wen-Ben Jone, Yiming Hu. Location Cache Design and Performance Analysis for Chip Multiprocessors
118 -- 129Chao Shi, Man Kay Law, Amine Bermak. A Novel Asynchronous Pixel for an Energy Harvesting CMOS Image Sensor
130 -- 141Claude Thibeault, Yassine Hariri. CDelta IDDQ : Improving Current-Based Testing and Diagnosis Through Modified Test Pattern Generation
142 -- 146Irith Pomeranz, Sudhakar M. Reddy. Fixed-State Tests for Delay Faults in Scan Designs
146 -- 151Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino. Fast Computation of Discharge Current Upper Bounds for Clustered Power Gating
151 -- 156Sherif A. Tawfik, Volkan Kursun. Multi-Threshold Voltage FinFET Sequential Circuits
156 -- 160Shau-Yu Cheng, Chueh-An Tsai, Terng-Yin Hsu. Channel Estimator and Aliasing Canceller for Equalizing and Decoding Non-Cyclic Prefixed Single-Carrier Block Transmission via MIMO-OFDM Modem
161 -- 165Minki Cho, Jason Schlessman, Wayne Wolf, Saibal Mukhopadhyay. Reconfigurable SRAM Architecture With Spatial Voltage Scaling for Low Power Mobile Multimedia Applications
165 -- 170Hsuan-Jung Hsu, Shi-Yu Huang. A Low-Jitter ADPLL via a Suppressive Digital Filter and an Interpolation-Based Locking Scheme