Abstract is missing.
- Delay-locked loop based frequency quadrupler with wide operating range and fast locking characteristicsYuan Wang, Yuequan Liu, Mengyin Jiang, Song Jia, Xing Zhang. 1-4 [doi]
- Total Ionizing Dose (TID) effects on finger transistors in a 65nm CMOS processJize Jiang, Wei Shu, Kwen-Siong Chong, Tong Lin, Ne Kyaw Zwa Lwin, Joseph Sylvester Chang, Jingyuan Liu. 5-8 [doi]
- Coresidual alias-locked loopsJinghang Liang, Duncan G. Elliott. 9-12 [doi]
- A compact pico-second in-situ sensor using programmable ring oscillators for advanced on chip variation characterization in 28nm HKMGYinyin Lin, Xinyi Hu, Jianguo Yang, Xiaoyong Xue. 13-16 [doi]
- A true Random Number Generator using RTN noise and a sigma delta converterTomas Figliolia, Pedro Julián, Gaspar Tognetti, Andreas G. Andreou. 17-20 [doi]
- Detecting tandem repeats in DNA using Ramanujan Filter BankSrikanth V. Tenneti, P. P. Vaidyanathan. 21-24 [doi]
- Two-pass beamforming for ultrasound imagingH. Rehouma, Daler N. Rakhmatov, Mohammed Albulayli. 25-28 [doi]
- A new L1-regularized time-varying autoregressive model for brain connectivity estimation: A study using visual task-related fMRI dataL. Zhang, Z. N. Fu, Shing-Chow Chan, H. C. Wu, Z. G. Zhang. 29-32 [doi]
- Ultrasound image despeckling in the contourlet domain using the Cauchy priorHamidreza Sadreazami, M. Omair Ahmad, M. N. S. Swamy. 33-36 [doi]
- Power noise in 14, 10, and 7 nm FinFET CMOS technologiesRavi Patel, Eby G. Friedman, Praveen Raghavan. 37-40 [doi]
- Extended exploration of low granularity back biasing control in 28nm UTBB FD-SOI technologyRamiro Taco, Itamar Levi, Marco Lanuzza, Alexander Fish. 41-44 [doi]
- FinFET cells with different transistor sizing techniques against PVT variationsAlexandra L. Zimpeck, Cristina Meinhardt, Gracieli Posser, Ricardo Augusto da Luz Reis. 45-48 [doi]
- Low power and roboust FinFET SRAM cell using independent gate controlLeila Bagheriye, Roghayeh Saeidi, Siroos Toofan. 49-52 [doi]
- Design of a power-efficient widely-programmable Gm-LC band-pass sigma-delta modulator for SDRAlonso Morgado, Rocío del Río Fernández, José Manuel de la Rosa. 53-56 [doi]
- A 74.9 dB SNDR 1 MHz bandwidth 0.9 mW delta-sigma time-to-digital converter using charge pump and SAR ADCAnugerah Firdauzi, Zule Xu, Masaya Miyahara, Akira Matsuzawa. 57-60 [doi]
- A calibration-free 96.6-dB-SNDR non-bootstrapped 1.8-V 7.9-mW delta-sigma modulator with class-AB single-stage switched VMAsStepan Sutula, Michele Dei, Lluís Terés, Francisco Serra-Graells. 61-64 [doi]
- A 24 mW, 80 dB SNR, 50 MHz multi-bit continuous time ΣΔ ADC in 28 nm FD-SOIAnubhuti Chopra, Shouri Chatterjee. 65-68 [doi]
- Continuous-time ΔΣ modulators with dual switched capacitor resistor DACsShanthi Pavan. 69-72 [doi]
- Higher-order DWA in bandpass delta-sigma modulators and its implementationJingjing Hu, Johannes A. Hegt, Arthur H. M. van Roermund, Sotir F. Ouzounov. 73-76 [doi]
- An incremental analog-to-digital converter with multi-step extended counting for sensor interfacesChia-Hung Chen, Yi Zhang, Tao He, Gabor C. Temes. 77-80 [doi]
- An algorithmic ADC with greater than rail-to-rail input range and near-Vt supplyMo M. Zhang, Paul J. Hurst, Stephen H. Lewis. 81-84 [doi]
- A pipeline ADC with latched-based ring amplifiersWen-Tze Chen, Ya-Ting Shyu, Chun-Po Huang, Soon-Jyh Chang. 85-88 [doi]
- A highly linear 4GS/s uncalibrated voltage-to-time converter with wide input rangePeter Osheroff, George S. La Rue, Subhanshu Gupta. 89-92 [doi]
- A new anchored normalization technique for score-level fusion in multimodal biometrie systemsWaziha Kabir, M. Omair Ahmad, M. N. S. Swamy. 93-96 [doi]
- Context based compression of FASTQ dataRama Srikanth Mallavarapu, Pandu Kumar Chinnamalliah, Ajit S. Bopardikar, Taejin Ahn. 97-100 [doi]
- A 110 × 64 150 mW 28 frames/s integrated visible/near-infrared CMOS image sensor with dual exposure times for image guided surgeryNan Cui, Timothy York, Radoslav Marinov, Suman Mondal, Shengkui Gao, Julie Margenthaler, Samuel Achilefu, Viktor Gruev. 101-104 [doi]
- Differential nuclear magnetic resonance receiver: Design, implementation and experimental resultsEbrahim Ghafar-Zadeh, Hossein Pourmodheji, Sebastian Magierowski. 105-108 [doi]
- 30-fps SNR equalized electrical impedance tomography IC with fast-settle filter and adaptive current control for lung monitoringJaehyuk Lee, Unsoo Ha, Hoi-Jun Yoo. 109-112 [doi]
- On-line machine learning accelerator on digital RRAM-crossbarLeibin Ni, Hantao Huang, Hao Yu. 113-116 [doi]
- High-performance face detection with CPU-FPGA accelerationAbinash Mohanty, Naveen Suda, Minkyu Kim, Sarma B. K. Vrudhula, Jae-sun Seo, Yu Cao. 117-120 [doi]
- Towards memristor based accelerator for sparse matrix vector multiplicationJianwei Cui, Qinru Qiu. 121-124 [doi]
- Heterogeneous systems with reconfigurable neuromorphic computing acceleratorsSicheng Li, Xiaoxiao Liu, Mengjie Mao, Hai Helen Li, Yiran Chen, Boxun Li, Yu Wang. 125-128 [doi]
- Low power Convolutional Neural Networks on a chipYu Wang, Lixue Xia, Tianqi Tang, Boxun Li, Song Yao, Ming Cheng, Huazhong Yang. 129-132 [doi]
- An analog integrated systems course proposal for a 2nd cycle of studies of the bologna processJorge R. Fernandes. 133-136 [doi]
- Introducing IC reliability elements in digital circuits and systems design educationFabio Campi, Josh Ancill. 137-140 [doi]
- A remote FPAA system for research and educationSahil Shah, Jennifer Hasler, Sihwan Kim, Ishan Lal, Matt Kagle, Michelle Collins. 141-144 [doi]
- Construction of the nodal conductance matrix of a planar resistive grid and derivation of the analytical expressions of its eigenvalues and eigenvectors using the Kronecker product and sumVedat Tavsanoglu. 145-148 [doi]
- Hardware decoders for polar codes: An overviewPascal Giard, Gabi Sarkis, Alexios Balatsoukas-Stimming, YouZhe Fan, Chi-Ying Tsui, Andreas Peter Burg, Claude Thibeault, Warren J. Gross. 149-152 [doi]
- A high throughput belief propagation decoder architecture for polar codesJun Lin, Jin Sha, Li Li 0003, Chenrong Xiong, Zhiyuan Yan, Zhongfeng Wang. 153-156 [doi]
- Belief propagation decoding of polar codes using stochastic computingBo Yuan, Keshab K. Parhi. 157-160 [doi]
- Joint detection and decoding for MIMO systems with polar codesJunmei Yang, Chuan Zhang, Wenqing Song, Shugong Xu, Xiaohu You. 161-164 [doi]
- Architecture and optimization of high-throughput belief propagation decoding of polar codesShuanghong Sun, Zhengya Zhang. 165-168 [doi]
- Ultra-low-power, high-density spintronic programmable logic (SPL)Kang L. Wang, Hochul Lee, Farbod Ebrahimi, Pedram Khalili Amiri. 169-172 [doi]
- Multi-source in-door energy harvesting for non-volatile processorsCaiwen Ding, Soroush Heidari, Yanzhi Wang, Yongpan Liu, Jingtong Hu. 173-176 [doi]
- Spiking neuromorphic networks with metal-oxide memristorsMirko Prezioso, Y. Zhong, D. Gavrilov, Farnood Merrikh-Bayat, Brian Hoskins, Gina Adam, Konstantin K. Likharev, Dmitri B. Strukov. 177-180 [doi]
- Built-in selectors self-assembled into memristorsSomnath Chakraborty, Saumil Joshi, Qiangfei Xia, Hai Li, Yiran Chen, Hao Jiang, Qing Wu, Mark Barnell, J. Joshua Yang. 181-184 [doi]
- Time-domain PLL modeling and RJ/DJ jitter decompositionKlodjan Bidaj, Jean-Baptiste Begueret, Nabil Houdali, Jerome Deroo, Sébastien Rieubon. 185-188 [doi]
- Miniaturized UWB offset power divider with reflection cancellation and enhanced isolationAhmed S. Sayed, Hesham N. Ahmed, Ayman M. ElTager. 189-192 [doi]
- 45.2% Energy efficiency improvement of UWB IR Tx by use of differential PPM in 180nm CMOSMika Pulkkinen, Tuomas Haapala, Jarno Salomaa, Kari Halonen. 193-196 [doi]
- DC offset estimation for on-off keying based ultra-low power non-coherent receiversJinesh P. Nair, Ashutosh Deepak Gore, Kiran Bynam, Young-Jun Hong, Changsoon Park, Seokju Yun, Manoj Choudhary. 197-200 [doi]
- Multi-stage 20 Gbaud driver in 130 nm CMOS for segmented Mach-Zehnder optical modulatorsHassan Sepehrian, Leslie A. Rusch, Wei Shi. 201-204 [doi]
- All-digital linear regulators with proactive and reactive gain-boosting for supply droop mitigation in digital load circuitsSaad Bin Nasir, Arijit Raychowdhury. 205-208 [doi]
- Enhancement of ozone-generation with modular expansions and dual-drive systemsShyh-Jier Huang, Pang-Jen Chiang, Tsong-Shing Lee, Wei-Fu Su. 209-212 [doi]
- Design of a shared-stage charge pump circuit for multi-anode microbial fuel cellsFengyu Qian, Ridvan Umaz, Yanping Gong, Baikun Li, Lei Wang 0003. 213-216 [doi]
- Five-level hybrid DC-DC converter with enhanced light-load efficiencyAbdullah Abdulslam, Farid El-Sehrawy, Yehea I. Ismail. 217-220 [doi]
- An efficient all-digital IR-Drop Alarmer for DVFS-based SoCLiting Yu, Xiaoxiao Wang, Yuanqing Cheng, Xiaoying Zhao, Pengyuan Jiao, Aixin Chen, Donglin Su, LeRoy Winemberg, Mehdi Sadi, Mark Mohammad Tehranipoor. 221-224 [doi]
- A 1V, 1.1mW mixed-signal hearing aid SoC in 0.13μm CMOS processCheng-Ying Chen, Li Ming Chen, Jun Fan, Zeng-Hui Yu, Jun Yang, Xiao-Yu Hu, Yong Hei, Feng Zhang. 225-228 [doi]
- Linux apps-usage-driven power dissipation-aware schedulerHou Zhao Qi Rex, Jong Ching Chuen, Andreas Herkersdorf. 229-232 [doi]
- Dynamic SIMD re-convergence with paired-path comparisonYun-Chi Huang, Kuan-Chieh Hsu, Wan-shan Hsieh, Chen-Chieh Wang, Chia-Han Lu, Chung-Ho Chen. 233-236 [doi]
- A task allocation method for the DTTR scheme based on task scheduling of fault patternsHiroshi Saito, Masashi Imai, Tomohiro Yoneda. 237-240 [doi]
- Security analysis of rakeness-based compressed sensingMauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 241-244 [doi]
- A reconfigurable parallel FPGA accelerator for the adapt-then-combine diffusion LMS algorithmQihang Yu, Yongqiang Ma, Badong Chen, José Carlos Príncipe, Nanning Zheng, Pengju Ren. 245-248 [doi]
- Fast compressive sensing reconstruction algorithm on FPGA using Orthogonal Matching PursuitZhelun Yu, Jincheng Su, Fan Yang, Yangfeng Su, Xuan Zeng, Dian Zhou, Weiping Shi. 249-252 [doi]
- Low-complexity proportionate algorithms with sparsity-promoting penaltiesTadeu N. Ferreira, Markus V. S. Lima, Paulo S. R. Diniz, Wallace Alves Martins. 253-256 [doi]
- Implicit notch filtering in compressed sensing by spectral shaping of sensing matrixMauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. 257-260 [doi]
- Area-efficient partial-clique-energy MRF pair design with ultra-low supply voltageYan Li, JianHao Hu, Hao Lu, Jie Chen. 261-264 [doi]
- A novel low-leakage power-rail ESD clamp circuit with adjustable triggering voltage and superior false-triggering immunity for nanoscale applicationsGuangyi Lu, Yuan Wang, Jian Cao, Song Jia, Xing Zhang. 265-268 [doi]
- RC-In-RC-Out model order reduction via node mergingManar Abdel-Galil, Hazem Hegazy, Yehea Ismail. 269-272 [doi]
- Exploring circuit robustness to power supply variation in low-voltage latch and register-based digital systemsAbhishek Roy, Benton H. Calhoun. 273-276 [doi]
- A compact ultra-low power physical unclonable function based on time-domain current difference measurementShibang Lin, Yuan Cao, Xiaojin Zhao, Xiao Wang, Xiaofang Pan. 277-280 [doi]
- A joint linearity-efficiency model of radio frequency power amplifiersHarald Enzinger, Karl Freiberger, Christian Vogel. 281-284 [doi]
- Wideband noise cancelling balun LNA with feedback biasingMiguel D. Fernandes, Luís B. Oliveira, João Goes. 285-288 [doi]
- Ultra-low voltage wideband inductorless balun LNA with high gain and high IP2 for sub-GHz applicationsArthur Liraneto Torres Costa, Hamilton Klimach, Sergio Bampi. 289-292 [doi]
- Linear RF apertures using 2-D analog beam filtersChamith Wijenayake, Arjuna Madanayake, Leonid Belostotski, Yongsheng Xu, Len T. Bruton. 293-296 [doi]
- A 4th-order analog continuous-time filter designed using standard cells and automatic digital logic design toolsScott M. Newton, Peter R. Kinget. 297-300 [doi]
- A low-power chopper bandpass amplifier for biopotential sensorsJiawei Zheng, Wing-Hung Ki, Chi-Ying Tsui. 301-304 [doi]
- An adaptive slew rate and dead zone ring amplifierKarim M. Megawer, Faisal A. Hussien, Mohamed M. Aboudina, Ahmed Nader Mohieldin. 305-308 [doi]
- A high gain decibel-linear programmable gain amplifier of synthetic aperture radar receiverKai Tang, Bo Chen, Liheng Lou, Supeng Liu, Yong Wang, Ying Zhang, Yuanjin Zheng. 309-312 [doi]
- A compact spatially configurable differential input stage for a field programmable interconnection networkWasim Hussain, Yvon Savaria, Yves Blaquière. 313-316 [doi]
- Combined optical and chemical asynchronous event pixel arrayPhilipp Häfliger, Ghazal Nabovati, Mohamad Sawan, Nicole L. Wagner, Jordan A. Greco, Robert R. Birge. 317-320 [doi]
- Minimally invasive pseudo-continuous blood glucose monitoring: Results from in-vitro and in-vivo testing of the e-MosquitoGang Wang, Michael D. Poscente, Simon S. Park, Christopher N. Andrews, Orly Yadid-Pecht, Martin P. Mintchev. 321-324 [doi]
- Epigenetic-IC: A fully integrated sensing platform for epigenetic reaction monitoringAlexandros Koutsos, Melpomeni Kalofonou, Mohammadreza Sohbati, Chris Toumazou. 325-328 [doi]
- An ISFET-based switched current DNA integratorDora Ma, Pantelis Georgiou, Chris Toumazou. 329-332 [doi]
- Time-resolved reflectance using short source-detector separationSreenil Saha, Frederic Lesage, Mohamad Sawan. 333-336 [doi]
- Autonomous smartwatch with flexible sensors for accurate and continuous mapping of skin temperatureMichele Magno, Giovanni A. Salvatore, Severin Mutter, Waleed Farrukh, Gerhard Tröster, Luca Benini. 337-340 [doi]
- Design of energy-efficient discrete cosine transform using pruned arithmetic circuitsJeremy Schlachter, Vincent Camus, Christian C. Enz. 341-344 [doi]
- Towards bendable piezoelectric oxide semiconductor field effect transistor based touch sensorShoubhik Gupta, Hadi Heidari, Leandro Lorenzelli, Ravinder Dahiya. 345-348 [doi]
- An event-driven POSFET taxel for sustained and transient sensingStefano Caviglia, Luigi Pinna, Maurizio Valle, Chiara Bartolozzi. 349-352 [doi]
- Process-variation tolerant flexible circuit for wearable electronicsTsung-Ching Huang, Kwang-Ting Cheng, Raymond G. Beausoleil. 353-356 [doi]
- Memory-error tolerance of scalable and highly parallel architecture for restricted Boltzmann machines in Deep Belief NetworkKodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid. 357-360 [doi]
- Liquid state machine based pattern recognition on FPGA with firing-activity dependent power gating and approximate computingQian Wang, Youjie Li, Peng Li. 361-364 [doi]
- Learning spatio-temporal patterns in the presence of input noise using phase-change memristorsStanislaw Wozniak, Tomas Tuma, Angeliki Pantazi, Evangelos Eleftheriou. 365-368 [doi]
- Neuromorphic implementation of attractor dynamics in decision circuit with NMDARsHongzhi You, Da-Hui Wang. 369-372 [doi]
- A VLSI implementation of a calcium-based plasticity learning modelFrank L. Maldonado Huayaney, Elisabetta Chicca. 373-376 [doi]
- Memristors as radiofrequency switchesShuang Pi, Mohammad Ghadiri-Sadrabadi, Joseph C. Bardin, Qiangfei Xia. 377-380 [doi]
- Versatile resistive switching in niobium oxideThomas Mikolajick, H. Wylezich, Hannes Maehne, Stefan Slesazeck. 381-384 [doi]
- Resistance impact by long connections on electrical behavior of integrated Memristive BiosensorsI. Tzouvadaki, A. Vallero, Francesca Puppo, Giovanni De Micheli, Sandro Carrara. 385-388 [doi]
- Memristor models for SPICE simulation of extremely large memristive networksDalibor Biolek, Zdenek Kolka, Viera Biolkova, Zdenek Biolek. 389-392 [doi]
- HfO2-based memristors for neuromorphic applicationsErika Covi, Stefano Brivio, Alexantrou Serb, Themistoklis Prodromakis, M. Fanciulli, S. Spiga. 393-396 [doi]
- Opportunties and challenges of tunnel FETsSuman Datta, Rahul Pandey, Saurabh Mookerjea. 397-400 [doi]
- Impact of CNT process imperfection on circuit-level functionality and yieldKaship Sheikh, Shu-Jen Han, Lan Wei. 401-404 [doi]
- Digital, analog and RF design opportunities of three-independent-gate transistorsPierre-Emmanuel Gaillardon, Mehdi Hasan, Anirban Saha, Luca Gaetano Amarù, Ross Walker, Berardi Sensale-Rodriguez. 405-408 [doi]
- Flexible 2D nanoelectronics from baseband to sub-THz transistors and circuitsWeinan Zhu, Saungeun Park, Hsiao-Yu Chang, Maruthi N. Yogeesh, Deji Akinwande. 409-412 [doi]
- Pipelined belief propagation polar decodersJunmei Yang, Chuan Zhang, Huayi Zhou, Xiaohu You. 413-416 [doi]
- A scalable 3-phase polar decoderBertrand Le Gal, Camille Leroux, Christophe Jégo. 417-420 [doi]
- Stage-combined belief propagation decoding of polar codesJin Sha, Jun Lin, Zhongfeng Wang. 421-424 [doi]
- Construction of parallelized-decoding LDPC codesTsung-Che Wu, Chang-Ming Lee, Cheng-Kuei Wang. 425-428 [doi]
- Low-complexity turbo product code for high-speed fiber-optic systems based on expurgated BCH codesFranco Paludi, Damian A. Morera, Teodoro A. Goette, Matias Schnidrig, Facundo A. Ramos, Mario R. Hueda. 429-432 [doi]
- Small-signal analysis of closed-loop PWM boost converter in CCM with complex impedance loadDalvir K. Saini, Agasthya Ayachit, Marian K. Kazimierczuk, Hiroo Sekiya. 433-436 [doi]
- Design of class-E power amplifier with nonlinear components by using extended impedance methodJunrui Liang. 437-440 [doi]
- Prediction of subharmonic oscillation in switching regulators with integrative feedback loopsAbdelali El Aroudi. 441-444 [doi]
- A 93.7% peak efficiency DC-DC buck converter with all-pass network based passive level shifter in 55 nm CMOSXiucheng Hao, Fan Yang, Mingxiao He, Yongan Zheng, Ying Guo, Huailin Liao. 445-448 [doi]
- Live demonstration: Real-time image classification on a neuromorphic computing system with zero off-chip memory accessTaehwan Shin, Yongshin Kang, Seungho Yang, Seban Kim, Jaeyong Chung. 449 [doi]
- Live demonstration: Programmable vision chip with neighborhood level parallel processingMahir Kabeer Gharzai, Joseph A. Schmitz, Sina Balkir, Michael W. Hoffman. 450 [doi]
- Live demonstration: Off-chip learning for hardware hand-sign recognition systemMasayuki Tamaki, Hiroomi Hikawa. 451 [doi]
- Live demonstration: Event-driven deep neural network hardware system for sensor fusionIlya Kiselev, Daniel Neil, Shih-Chii Liu. 452 [doi]
- Live demonstration: Single-exposure HDR image acquisition based on tunable balance between local and global adaptationJorge Fernandez-Berni, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. 453 [doi]
- Live demonstration: Run-time visualization of Kvazaar HEVC intra encoderMarko Viitanen, Ari Koivula, Jarno Vanne, Timo D. Hämäläinen. 454 [doi]
- Ultra-low bandwidth video streaming using a neuromorphic, scene-driven image sensorLudovic Chotard, Xavier Lagorce, Christoph Posch. 455 [doi]
- Live demonstration: A dynamic vision sensor with direct logarithmic output and full-frame picture-on-demandMenghan Guo, Ruoxi Ding, Shoushun Chen. 456 [doi]
- Live demonstration: 150Mbps+ DCO-OFDM VLCMonica Figueiredo, Carlos Ribeiro, Luis Nero Alves. 457 [doi]
- A 1.2-V 43.2-μW three-stage amplifier with cascode miller-compensation and Q-reduction for driving large capacitive loadQi Cheng, Hong Zhang, Lizhong Xue, Jianping Guo. 458-461 [doi]
- A wide dynamic range low power 2× time amplifier using current subtraction schemeHasan Molaei, Ata Khorami, Khosrow Hajsadeghi. 462-465 [doi]
- A generic model for constructing three-stage amplifiersMin Tan, Wing-Hung Ki. 466-469 [doi]
- An investigation of THD of a BTL Class D amplifierTong Ge, Huiqiao He, Jia Zhou, Yang Kang, Joseph S. Chang. 470-473 [doi]
- Using "reconciliation" model for calculation of harmonics in a MOS transistor stage operating in moderate inversionIgor M. Filanovsky, Luís B. Oliveira. 474-477 [doi]
- Frequency-encoded integrators applied to filtering and sigma-delta modulationLuis Hernández, E. Gutierrez, Fernando Cardes. 478-481 [doi]
- A power-scalable zero-crossing-based amplifier using inverter-based zero-crossing detector with CMFBJunya Matsuno, Daisuke Kurose, Tomohiko Sugimoto, Hirotomo Ishii, Masanori Furuta, Tetsuro Itakura. 482-485 [doi]
- Low-power analog lock-in amplifier for high-resolution portable sensing systemsPaulina Mariana Maya-Hernandez, María Teresa Sanz-Pascual, Belén Calvo. 486-489 [doi]
- Enhancing the performance of output-capacitorless LDO regulators by pass-transistor segmentationVahideh Shirmohammadli, Alireza Saberkari, Herminio Martínez-Garcia, Eduard Alarcón-Cot. 490-493 [doi]
- A 200ns settling time fully integrated low power LDO regulator with comparators as transient enhancementMohammad Amayreh, Joachim Leicht, Yiannos Manoli. 494-497 [doi]
- 3.7μW 0.8V VCO-integrator-based high-efficiency capacitor-free low-dropout voltage regulatorZhongjie Dai, Sanket Gupta, Sarthak Kalani, Peter R. Kinget. 498-501 [doi]
- A 1-V PTAT current reference circuit with 0.05%/V current sensitivity to VDDJorge V. De la Cruz, André Luiz Aita. 502-505 [doi]
- A high wide band PSRR and fast start-up current mode bandgap reference in 130nm CMOS technologyAmr I. Kamel, Ahmed Saad, Seng Siong Lee. 506-509 [doi]
- A nano-ampere 2nd order temperature-compensated CMOS current reference using only single resistor for wide-temperature range applicationsTaewook Kim, Troy Briant, Changsok Han, Nima Maghari. 510-513 [doi]
- A current controlled oscillator based readout front-end for neurochemical sensing in 65nm CMOS technologyOlaitan Olabode, Marko Kosunen, Kari Halonen. 514-517 [doi]
- A low power charge mode compressive acquisition of multichannel EEG signalsBhuvanan Kaliannan, Vijaya Sankara Rao Pasupureddi. 518-521 [doi]
- Low-power EEG monitor based on compressed sensing with compressed domain noise rejectionNicola Bertoni, Bathiya Senevirathna, Fabio Pareschi, Mauro Mangia, Riccardo Rovatti, Pamela Abshire, Jonathan Z. Simon, Gianluca Setti. 522-525 [doi]
- A multi-channel EEG system featuring single-wire data aggregation via FM-FDM techniquesJulian Warchall, Aishwarya Balakrishnan, Ozgur Balkan, Patrick P. Mercier, Harinath Garudadri, W. David Hairston, Paul T. Theilmann. 526-529 [doi]
- The design of 8-channel CMOS area-efficient low-power current-mode analog front-end amplifier for EEG signal recordingYa-Syuan Sung, Wei-Ming Chen, Chung-Yu Wu. 530-533 [doi]
- Continuous-time micropower interface for neural recording applicationsMarios Elia, Lieuwe B. Leene, Timothy G. Constandinou. 534-537 [doi]
- Clockless continuous-time neural spike sorting: Method, implementation and evaluationYan Liu 0016, Joao L. Pereira, Timothy G. Constandinou. 538-541 [doi]
- Charge recovery logic for thermal harvesting applicationsLeo Filippini, Baris Taskin. 542-545 [doi]
- Signal, noise and interference power analysis in MRT-based Massive MIMO systemsClaude Desset. 546-549 [doi]
- Architecture design and implementation of key components of an OFDM transceiver for IEEE 802.15.4gDenise C. Alves, Gabriel S. da Silva, Eduardo R. de Lima, Cesar G. Chaves, Daniel Urdaneta, Tiago Perez, Maique Garcia. 550-553 [doi]
- Efficient differential fault analysis attacks to AES decryption for low cost sensors in IoTsLimin Zhu, Yi Wang 0016, Renfa Li. 554-557 [doi]
- Low-cost configurable ring oscillator PUF with improved uniquenessYijun Cui, Chenghua Wang, Weiqiang Liu, Yifei Yu, Máire O'Neill, Fabrizio Lombardi. 558-561 [doi]
- Fault attack on FPGA implementations of Trivium stream cipherF. E. Potestad-Ordonez, C. J. Jiménez-Fernández, M. Valencia-Barrero. 562-565 [doi]
- A fast sub-pixel motion estimation algorithm for HEVCShan Jia, Wenpeng Ding, Yunhui Shi, Baocai Yin. 566-569 [doi]
- Hierarchical temporal dependent rate-distortion optimization for low-delay codingYanbo Gao, Ce Zhu, Shuai Li. 570-573 [doi]
- Energy-aware cache assessment of HEVC decodingEduarda Monteiro, Mateus Grellert, Sergio Bampi, Bruno Zatt. 574-577 [doi]
- Partially-occluded face recognition using weighted module linear regression classificationYang-Ting Chou, Jar-Ferr Yang. 578-581 [doi]
- Parallel randomized KD-tree forest on GPU cluster for image descriptor matchingLinjia Hu, Saeid Nooshabadi, Majid Ahmadi. 582-585 [doi]
- Pose-invariant face recognition using feature progressing modelJunyong Si, Weiping Li. 586-589 [doi]
- Multiview emotion recognition via multi-set locality preserving canonical correlation analysisNour El-Din El-Madany, Yifeng He, Ling Guan. 590-593 [doi]
- Ultra-compact SRAM design using TFETs for low power low voltage applicationsNavneet Gupta, Adam Makosiej, Andrei Vladimirescu, Amara Amara, Costin Anghel. 594-597 [doi]
- A nano-scale 2×VDD I/O buffer with encoded PV compensation techniqueTsung-Yi Tsai, Yu-Lin Teng, Chua-Chin Wang. 598-601 [doi]
- Algorithm for fault localization on a digital microfluidic biochip using particle swarm optimization techniqueSubhamita Mukherjee, Indrajit Pan, Tuhina Samanta. 602-605 [doi]
- Comparative analysis of robustness of spin transfer torque based look up tables under process variationsRagh Kuttappa, Houman Homayoun, Hassan Salmani, Hamid Mahmoodi. 606-609 [doi]
- Binary descriptor based SIFT and hardware implementationChe-Yu Wu, Ching-Te Chiu, Yarsun Hsu. 610-613 [doi]
- Low-complexity SIMO buck-boost DC-DC converter for gigascale systemsRobert Chen-Hao Chang, Wei-Chih Chen, Chi-Hua Siao, Hong-Wen Wu. 614-617 [doi]
- 3D IC design of a fully integrated four-phase buck converterRobert Chen-Hao Chang, Chi-Hua Siao, Wei-Chih Chen, Wei-Min Hong. 618-621 [doi]
- Block based depth map estimation algorithm for 2D-to-3D conversion on FPGAYeong-Kang Lai, Chu-Yin Ho, Benjamin Freiling, Thomas Schumann. 622-625 [doi]
- Investigating wind speed-dependent models for electric power transmission linesSurya Naga Krishna Mohan Jagarlapudi, Valentina Cecchi. 626-629 [doi]
- A measurement system for electric car charging stations utilising a FPGA board for flexibility in configurationIvan Stoychev, Jan-Christoph Tebbe, Jürgen Oehm. 630-633 [doi]
- Towards detection and control of Hopf bifurcation in electric power systemXiaozhe Wang. 634-637 [doi]
- System-level exploration of hierarchical storage organizations for embedded data-intensive applicationsFlorin Balasa, Hongwei Zhu 0001. 638-641 [doi]
- On tunable switch-mode reactive networks: A gyrator-based resonator emulationMohamed Saad, Nuria Egidos, Elisenda Bou-Balust, Eduard Alarcón. 642-645 [doi]
- Design procedure for wireless power transfer system with inductive coupling-coil optimizations using PSOTakumi Noda, Tomoharu Nagashima, Xiuqin Wei, Marian K. Kazimierczuk, Hiroo Sekiya. 646-649 [doi]
- Energy harvesting using symmetrical electrostatic generatorsAntônio Carlos M. de Queiroz. 650-653 [doi]
- A 96.7% efficient boost converter with a stand-by current of 420 nA for energy harvesting applicationsDaniel Schillinger, Yuanda Hu, Mohammad Amayreh, Christian Moranz, Yiannos Manoli. 654-657 [doi]
- Dual band wireless power and bi-directional data link for implanted devices in 65 nm CMOSVamsi Talla, Vaishnavi Ranganathan, Brody J. Mahoney, Joshua R. Smith. 658-661 [doi]
- AFSEM: Advanced frequent subcircuit extraction method by graph mining approach for optimized cell library developmentsByung-Su Kim, Hyo-Sig Won, Tae-Hee Han, Joon-Sung Yang. 662-665 [doi]
- Global resource capacity algorithm with path splitting for virtual network embeddingSoroush Haeri, Qingye Ding, Zhida Li, Ljiljana Trajkovic. 666-669 [doi]
- Some results on stochastic input-to-state stability of stochastic switched nonlinear systemsGuangdeng Zong, Zidong Ai, Wei Xing Zheng, Jinhu Lu. 670-673 [doi]
- An effective generator-allocating method to enhance the robustness of power gridXi Zhang, Chi K. Tse. 674-677 [doi]
- Mitigating bad data and measurement delay in nonlinear dynamic state estimationParivash Hajiyani, Hanoch Lev-Ari, Aleksandar M. Stankovic. 678-681 [doi]
- Switched-current fractional-order filter designsGeorgia Tsirimokou, Costas Psychalinos, Ahmed S. Elwakil. 682-685 [doi]
- A bivariate fractal interpolation surface with an individual vertical scaling factorHideaki Okazaki, Shota Ueno, Hideo Nakano. 686-689 [doi]
- Modelling and characterization of dynamic behavior of coupled memristor circuitsJason K. Eshraghian, Herbert H. C. Iu, Tyrone Fernando, Dongsheng Yu, Zhen Li. 690-693 [doi]
- A novel fast, low-power and high-performance XOR-XNOR cellMajid Amini Valashani, Sattar Mirzakuchaki. 694-697 [doi]
- Area-efficient and low stand-by power 1k-byte transmission-gate-based non-imprinting high-speed erase (TNIHE) SRAMWeng-Geng Ho, Kyaw Zwa Lwin Ne, N. Prashanth Srinivas, Kwen-Siong Chong, Tony Tae-Hyoung Kim, Bah-Hwee Gwee. 698-701 [doi]
- A reduced hardware complexity data-weighted averaging algorithm with no tonal behaviorAlberto Celin, Andrea Gerosa. 702-705 [doi]
- Implementation of efficient parallel discrete cosine transform using stochastic logicYan Li, JianHao Hu, Jie Chen. 706-709 [doi]
- Class D CMOS power amplifier with on/off logic for a multilevel outphasing transmitterMikko Martelius, Kari Stadius, Jerry Lemberg, Tero Nieminen, Enrico Roverato, Marko Kosunen, Jussi Ryynänen, Lauri Anttila, Mikko Valkama. 710-713 [doi]
- Intra mode power saving methodology for CGRA-based reconfigurable processor architecturesNarasinga Rao Miniskar, Rahul R. Patil, Raj Narayana Gadde, Young-chul Rams Cho, Sukjin Kim, Shi Hwa Lee. 714-717 [doi]
- A 16-valued logic FPGA architecture employing analog memory circuitRenyuan Zhang, Mineo Kaneko. 718-721 [doi]
- SiGe HBT X-band and Ka-band switchable dual-band low noise amplifierPanglijen Candra, Tian Xia. 722-725 [doi]
- An energy-efficient subthreshold level shifter with a wide input voltage rangeYuan Cao, Wenbin Ye, Xiaojin Zhao, Peigang Deng. 726-729 [doi]
- Design of an optimized reversible bidirectional barrel shifterSadia Nowrin, Lafifa Jamal, Hafiz Md. Hasan Babu. 730-733 [doi]
- Luminance-adaptive smart video storage systemJonathon Edstrom, Dongliang Chen, Jinhui Wang, Huan Gu, Enrique Alvarez Vazquez, Mark E. McCourt, Na Gong. 734-737 [doi]
- Robust near-threshold inverter with improved performance for ultra-low power applicationsMd Shazzad Hossain, Ioannis Savidis. 738-741 [doi]
- An efficient framework for configurable RO PUFZhuwei Chen, Yici Cai, Qiang Zhou, Gang Qu. 742-745 [doi]
- Asynchronous interleaved scan architecture for on-line built-in self-test of null convention logicNastaran Nemati, Mark C. Reed, Karl M. Fant, Paul Beckett. 746-749 [doi]
- Multi-threshold dual-spacer dual-rail delay-insensitive logic: An improved IC design methodology for side channel attack mitigationJean Pierre T. Habimana, Francis Sabado, Jia Di. 750-753 [doi]
- Automatic generation of functional coverage modelsEman El Mandouh, Amr G. Wassal. 754-757 [doi]
- Implementation of pseudo linear feedback shift register physical unclonable function on siliconYasuhiro Ogasahara, Yohei Hori, Hanpei Koike. 758-761 [doi]
- Single channel speech enhancement using subband iterative Kalman filterSujan Kumar Roy, Wei-Ping Zhu, Benoît Champagne. 762-765 [doi]
- On the use of discrete wavelet transform for robust scalable speech codingTokunbo Ogunfunmi, Koji Seto. 766-769 [doi]
- Instantaneous pitch estimation of noisy speech signal with multivariate SSTMd. Khademul Islam Molla, Mahboob Qaosar, Keikichi Hirose. 770-773 [doi]
- MVDR beamformer analysis of acoustic vector sensor with single directional interferenceJiuwen Cao, Xiaoping Lai. 774-777 [doi]
- A new two-stage method for single-microphone speech dereverberationAli Baghaki, M. Omair Ahmad, M. N. S. Swamy. 778-781 [doi]
- Multi-bit flip-flop generation considering multi-corner multi-mode timing constraintTaehee Lee, JongWon Yi, Joon-Sung Yang. 782-785 [doi]
- On-chip hybrid regulator topology for portable SoCs with near-threshold operationYongwan Park, Emre Salman. 786-789 [doi]
- MEMS-based power delivery control for bursty applicationsHaider Alrudainy, Andrey Mokhov, Nizar S. Dahir, Alex Yakovlev. 790-793 [doi]
- Evaluation of multi-level buck converters for low-power applicationsAbdullah Abdulslam, S. H. Amer, A. S. Emara, Yehea Ismail. 794-797 [doi]
- OMP-based transform for inter coding in HEVCRui Song, Cuiling Lan, Houqiang Li, Jizheng Xu, Feng Wu. 798-801 [doi]
- Energy-efficient SATD for beyond HEVCIsmael Seidel, André Beims Bräscher, José Luís Almada Güntzel, Luciano Volcan Agostini. 802-805 [doi]
- Constrained quantization based transform domain down-conversion for image compressionShuyuan Zhu, Liaoyuan Zeng, Bing Zeng, Jiantao Zhou. 806-809 [doi]
- A structure of JPEG XT encoder considering effect of quantization errorOsamu Watanabe 0002, Ryo Suzuki, Hitoshi Kiya. 810-813 [doi]
- A cross-coupled substrate integrated waveguide filter for 28 GHz millimeter wave communicationsLiang Ma, Jianxing Zhuang, Jianyi Zhou. 814-817 [doi]
- A switched-capacitor degenerated, scalable gm-C filter-bank for acoustic front-endsKomail M. H. Badami, Venkata Rajesh Pamula, Marian Verhelst. 818-821 [doi]
- Charge pump optimization and output spur reduction in VCO-based OTAs for active-RC analog filtersSarthak Kalani, Shravan S. Nagam, Peter R. Kinget. 822-825 [doi]
- Time integrator for mixed-mode signal processingYoung-Jun Park, Durand Jarrett-Amor, Fei Yuan. 826-829 [doi]
- A 51-nW 32.7-kHz CMOS relaxation oscillator with half-period pre-charge compensation scheme for ultra-low power systemsYongan Zheng, Lili Zhou, Fan Tian, Mingxiao He, Huailin Liao. 830-833 [doi]
- Simultaneous monitoring of anesthetics and therapeutic compounds with a portable multichannel potentiostatFrancesca Stradolini, Tamador Elboshra, Armando Biscontini, Giovanni De Micheli, Sandro Carrara. 834-837 [doi]
- Comparison of sEMG bit-stream modulators for cross-correlation based muscle fatigue estimationDaiwen Sun, Ermis Koutsos, Pantelis Georgiou. 838-841 [doi]
- A tunable, robust pseudo-resistor with enhanced linearity for scanning ion-conductance microscopyDenis Djekic, Maurits Ortmanns, Georg Fantner, Jens Anders. 842-845 [doi]
- Design of a CMOS active electrode IC for wearable electrical impedance tomography systemsYu Wu, Peter J. Langlois, Richard H. Bayford, Andreas Demosthenous. 846-849 [doi]
- A wirelessly tunable low drop-out regulator for subcutaneous muscle prosthesisYi Huang, Laleh Najafizadeh. 850-853 [doi]
- Flexible electrical circuits printed on polymers using graphene-cellulose inksGeorge K. Knopf, Dogan Sinar, Anatoly Andrushchenko, Suwas Nikumb. 854-857 [doi]
- Flexible printed organic photovoltaic antennas for the Internet of ThingsMina Danesh. 858-861 [doi]
- Fully-additive printed electronics: Process Development KitJia Zhou, Tong Ge, Joseph S. Chang. 862-865 [doi]
- Aerosol Jet Printing for printed electronics rapid prototypingAnubha A. Gupta, Antoine Bolduc, Sylvain G. Cloutier, Ricardo Izquierdo. 866-869 [doi]
- Low-cost trimmable manufacturing methods for printable electronicsAdam Gordon, Gordon W. Roberts, Christian Jesús B. Fayomi. 870-873 [doi]
- Virtual network embeddings in data center networksSoroush Haeri, Ljiljana Trajkovic. 874-877 [doi]
- More or less controllers to synchronize a Kuramoto-oscillator network via a pacemaker?Pengchun Rao, Xiang Li, Maciej J. Ogorzalek. 878-881 [doi]
- A novel optimization method based on opinion formation in complex networksHomayoun Hamed Moghadam Rafati, Mahdi Jalili, Xinghuo Yu. 882-885 [doi]
- The influence of extortion diversity on the evolution of cooperation in scale-free networksYajun Mao, Zhihai Rong, Xiongrui Xu, Chi Kong Tse. 886-889 [doi]
- On the modeling of blackouts in power networksZbigniew Galias, Szczepan Moskwa. 890-893 [doi]
- Closing the loop between analog design and testStephen Sunter. 894-897 [doi]
- Harnessing fabrication process signature for predicting yield across designsAli Ahmadi, Haralampos-G. D. Stratigopoulos, Amit Nahar, Bob Orr, Michael Pas, Yiorgos Makris. 898-901 [doi]
- Real-time test data acquisition and data processing enabling closed loop control systems for adaptive testChristian Streitwieser. 902-905 [doi]
- High-speed link verification based on statistical inferenceXuan Zeng, Chenlei Fang, Qicheng Huang, Fan Yang, Dian Zhou, Wei Cai, Weiping Shi. 906-909 [doi]
- Identifying systematic spatial failure patterns through wafer clusteringMohamed Baker Alawieh, Fa Wang, Xin Li 0001. 910-913 [doi]
- A low-voltage, low power STDP synapse implementation using domain-wall magnets for spiking neural networksGovind Narasimman, Subhrajit Roy, Xuanyao Fong, Kaushik Roy 0001, Chip-Hong Chang, Arindam Basu. 914-917 [doi]
- Spin wave based synapse and neuron for ultra low power neuromorphic computation systemLang Zeng, Deming Zhang, Youguang Zhang, Fanghui Gong, Tianqi Gao, Sa Tu, Haiming Yu, Weisheng Zhao. 918-921 [doi]
- Spintronic devices for ultra-low power neuromorphic computation (Special session paper)Abhronil Sengupta, Karthik Yogendra, Kaushik Roy 0001. 922-925 [doi]
- Beyond spike-timing dependent plasticity in memristor crossbar arraysHesham Mostafa, Christian Mayr, Giacomo Indiveri. 926-929 [doi]
- Cyclical sensing integrate-and-fire circuit for memristor array based neuromorphic computingHao Jiang, Weijie Zhu, Fu Luo, Kangjun Bai, Chenchen Liu, Xiaorong Zhang, J. Joshua Yang, Qiangfei Xia, Yiran Chen, Qing Wu. 930-933 [doi]
- A 1.8 Gb/s fully integrated optical receiver for OOK visible light communication in 0.35 μm CMOSBassem Fahs, Asif Chowdhury, Mona Mostafa Hella. 934-937 [doi]
- A high temperature wideband low noise amplifier for downhole applicationsMichael L. Cunningham, Dong S. Ha, Kwang-Jin Koh. 938-941 [doi]
- A close-loop time-mode temperature sensor with inaccuracy of -0.6°C/0.5°C from -40°C to 120°CDi Zhu, Jiacheng Wang, Liter Siek. 942-945 [doi]
- A high temperature active GaN-HEMT downconversion mixer for downhole communicationsJebreel M. Salem, Dong Sam Ha. 946-949 [doi]
- Virtual temperature measurement for smart buildings via Bayesian model fusionXiaoming Chen, Xin Li. 950-953 [doi]
- Learning-based occupancy behavior detection for smart buildingsHengyang Zhao, Zhongdong Qi, Shujuan Wang, Kambiz Vafai, Hai Wang, Hai-Bao Chen, Sheldon X.-D. Tan. 954-957 [doi]
- Co-scheduling of flexible energy loads in building clustersTianshu Wei, Qi Zhu. 958-961 [doi]
- Frequency domain clutter removal for compressive OFDM ground penetrating radarYu Zhang, Tian Xia. 962-965 [doi]
- Experimental investigation into radiation-hardening-by-design (RHBD) flip-flop designs in a 65nm CMOS processTong Lin, Kwen-Siong Chong, Wei Shu, Ne Kyaw Zwa Lwin, Jize Jiang, Joseph S. Chang. 966-969 [doi]
- Towards code generation for ARM Cortex-M MCUs from SysML activity diagramsMohammad Hossein, Askari-Hemmat, Otmane Aït Mohamed, Mounir Boukadoum. 970-973 [doi]
- Embedding low cost optimal watermark during high level synthesis for reusable IP core protectionAnirban Sengupta, Saumya Bhadauria, Saraju P. Mohanty. 974-977 [doi]
- Scalable and small-sized power analyzer design with signal-averaging noise reduction for low-power IoT devicesRyosuke Kitayama, Takashi Takenaka, Masao Yanagisawa, Nozomu Togawa. 978-981 [doi]
- Assertion-based verification of industrial WLAN systemInfall Syafalni, Nico Surantha, Duc Khai Lam, Nana Sutisna, Yuhei Nagao, Katsuhiko Wakasugi, Yang Tongxin, Hiroshi Ochi, Taadaki Tsuchiya. 982-985 [doi]
- Weighted residual minimization in PCA subspace for visual trackingB. K. Shreyamsha Kumar, M. N. S. Swamy, M. Omair Ahmad. 986-989 [doi]
- Visual saliency model based on minimum description lengthJing Liu, Xiaokang Yang, Guangtao Zhai, Chang Wen Chen. 990-993 [doi]
- A block-based Markov random field model estimation for contextual classification using Optimum-Path ForestDaniel Osaku, Alexandre L. M. Levada, João Paulo Papa. 994-997 [doi]
- Fast realistic block-based refocusing for sparse light fieldsLi-Ren Huang, Yu-Wen Wang, Chao-Tsung Huang. 998-1001 [doi]
- A low-complexity MMSE Bayesian estimator for suppression of speckle in SAR imagesRafat R. Damseh, M. Omair Ahmad. 1002-1005 [doi]
- A process compensated gain cell embedded-DRAM for ultra-low-power variation-aware designRobert Giterman, Adam Teman, Pascal Meinerzhagen, Alexander Fish, Andreas Burg. 1006-1009 [doi]
- A 17.5 fJ/bit energy-efficient analog SRAM for mixed-signal processingJinsu Lee, Dongjoo Shin, Youchang Kim, Hoi-Jun Yoo. 1010-1013 [doi]
- A subthreshold SRAM with embedded data-aware write-assist and adaptive data-aware keeperYi-Wei Chiu, Yu-Hao Hu, Jun-Kai Zhao, Shyh-Jye Jou, Ching-Te Chuang. 1014-1017 [doi]
- Temperature-based adaptive memory sub-system in 28nm UTBB FDSOIAmit Chhabra, Mudit Srivastava, Prakhar Raj Gupta, Kedar Janardan Dhori, Philippe Triolet, Thierry Di Gilio, Nitin Bansal, B. Sujatha. 1018-1021 [doi]
- WL under-driving scheme with decremental step voltage and incremental step time for high-capacity NAND flash memoryJunyoung Ko, Younghwi Yang, Seong-Ook Jung, Jisu Kim, Cheon An Lee, Young-Sun Min, Jin-Young Chun, Moosung Kim. 1022-1025 [doi]
- Highly time-interleaved noise-shaped SAR ADC with reconfigurable orderAllen Waters, Aili Wang, C.-J. Richard Shi. 1026-1029 [doi]
- A 10-bit 2 MS/s SAR ADC using reverse VCM-based switching schemeZhongyi Fu, Xian Tang, Daxiang Li, Jiangpeng Wang, Debajit Basak, Kong-Pang Pun. 1030-1033 [doi]
- Noise transfer functions and loop filters especially suited for noise-shaping SAR ADCsHarald Garvik, Carsten Wulff, Trond Ytterdal. 1034-1037 [doi]
- A 7 bit 800MS/S SAR ADC with background offset calibrationChao Wu, Jie Yuan. 1038-1041 [doi]
- A 0.4V 1.94fJ/conversion-step 10b 750kS/s SAR ADC with input-range-adaptive switchingPei-Chen Lee, Chen-Che Kao, Chih-Cheng Hsieh. 1042-1045 [doi]
- Digital processing of signals produced by voltage-controlled-oscillator-based continuous-time ADCsSharvil Patil, Yannis P. Tsividis. 1046-1049 [doi]
- A hybrid comparator for high resolution SAR ADCAhmad AlMarashli, Jens Anders, Maurits Ortmanns. 1050-1053 [doi]
- A sub-nW mV-range programmable threshold comparator for near-zero-energy sensingAili Wang, Allen Waters, C.-J. Richard Shi. 1054-1057 [doi]
- Design of a 4th-order multi-stage feedforward operational amplifier for continuous-time bandpass delta sigma modulatorsXi Yang, Hae-Seung Lee. 1058-1061 [doi]
- An asynchronous ADC with reconfigurable analog pre-processingBrandon M. Kelly, David W. Graham Lane. 1062-1065 [doi]
- A 0.8V, 43.5μW ECG signal acquisition IC with a referenceless time-to-digital converterShu-Hsuan Lin, Fu-To Lin, Nai-Chen Daniel Cheng, Yu-Te Liao. 1066-1069 [doi]
- A 3.2 mW 0.13 μm high sensitivity frequency-domain CMOS capacitance interfaceJaved S. Gaggatur, Pradeep K. Dixena, Gaurab Banerjee. 1070-1073 [doi]
- A 2.7μW 10b 640kS/s time-based A/D converter for implantable neural recording interfaceAmir Zjajo, Santosh Astigimath, Rene van Leuken. 1074-1077 [doi]
- CMOS for high-speed nanopore DNA basecallingYiyun Huang, Sebastian Magierowski, Ebrahim Ghafar-Zadeh. 1078-1081 [doi]
- A 54-μW fast-settling arterial pulse wave sensor for wrist watch type systemKwantae Kim, Minseo Kim, Hyunwoo Cho, Kwonjoon Lee, Seung-Tak Ryu, Hoi-Jun Yoo. 1082-1085 [doi]
- Wearable seizure detection using convolutional neural networks with transfer learningAdam Page, Colin Shea, Tinoosh Mohsenin. 1086-1089 [doi]
- A 1.3mA biphasic current stimulator IC with active charge balancing for nerve interfacing applicationsReza Erfani, Fatemeh Marefat, Soumyajit Mandal, Pedram Mohseni. 1090-1093 [doi]
- Towards free-breathing spirometery-on-chip: Design, implementation and preliminary experimental resultsEbrahim Ghafar-Zadeh, Giancarlo Ayala-Charca, M. Matynia, Sebastian Magierowski, B. Gholamzadeh, Mohamad Sawan. 1094-1097 [doi]
- An optimized adaptive spike detector for behavioural experimentsG. Gagnon-Turcotte, Y. LeChasseur, C. Bories, Y. De Koninck, Benoit Gosselin. 1098-1101 [doi]
- Low cost mobile EEG for characterization of cortical auditory responsesBathiya Senevirathna, Lauren Berman, Nicola Bertoni, Fabio Pareschi, Mauro Mangia, Riccardo Rovatti, Gianluca Setti, Jonathan Z. Simon, Pamela Abshire. 1102-1105 [doi]
- On the existence of chaos in the Chua's circuit with a smooth nonlinearityZbigniew Galias. 1106-1109 [doi]
- Bifurcation analysis of arcing in DC automotive 48 V power supply systemsMarcus Prochaska, Kris Rohrmann. 1110-1113 [doi]
- Bit-flipping LDPC under noise conditions and its application to physically unclonable functionsTakao Marukame, Alexandre Schmid. 1114-1117 [doi]
- Performance of DCSK system with blanking circuit for power-line communicationsGeorges Kaddoum, Navid Tadayon, Ebrahim Soujeri. 1118-1121 [doi]
- Wearable chemical sensors: Opportunities and challengesSomayeh Imani, Patrick P. Mercier, Amay J. Bandodkar, Jayoung Kim, Joseph Wang. 1122-1125 [doi]
- Design of energy-efficient on-chip EEG classification and recording processors for wearable environmentsMuhammad Awais Bin Altaf, Chen Zhang, Ljubomir Radakovic, Jerald Yoo. 1126-1129 [doi]
- Data acquisition for wearables and in-patient monitoringAjit Sharma, A. L. Praveen Aroul, Tarkesh Pande, Amy Schnoor, Karthik Soundarapandian. 1130-1133 [doi]
- Big biomedical image processing hardware acceleration: A case study for K-means and image filteringKatayoun Neshatpour, Arezou Koohi, Farnoud Farahmand, Rajiv Joshi, Setareh Rafatirad, Avesta Sasan, Houman Homayoun. 1134-1137 [doi]
- Sketching-based high-performance biomedical big data processing acceleratorAmey M. Kulkarni, Ali Jafari, Chris Sagedy, Tinoosh Mohsenin. 1138-1141 [doi]
- Designs of emerging memory based non-volatile TCAM for Internet-of-Things (IoT) and big-data processing: A 5T2R universal cellMeng-Fan Chang, Ching-Hao Chuang, Yen-Ning Chiang, Shyh-Shyuan Sheu, Chia-Chen Kuo, Hsiang-Yun Cheng, John Sampson, Mary Jane Irwin. 1142-1145 [doi]
- Design considerations for reliable OxRAM-based non-volatile flip-flops in 28nm FD-SOI technologyNenad Jovanovic, Olivier Thomas, Elisa Vianello, Bosko Nikolic, L. Naviner. 1146-1149 [doi]
- Quantitative evaluation of reliability and performance for STT-MRAMLiuyang Zhang, Aida Todri-Sanial, Wang Kang, Youguang Zhang, Lionel Torres, Yuanqing Cheng, Weisheng Zhao. 1150-1153 [doi]
- Adaptive refreshing and read voltage control scheme for FeDRAMIsmail Bayram, Enes Eken, Xue Wang, Xiao Sun, T. P. Ma, Yiran Chen. 1154-1157 [doi]
- On the potential of correlated materials in the design of spin-based cross-point memories (Invited)Sumeet Kumar Gupta, Ahmedullah Aziz, Nikhil Shukla, Suman Datta. 1158-1161 [doi]
- Efficient polynomial multiplier architecture for Ring-LWE based public key cryptosystemsChaohui Du, Guoqiang Bai. 1162-1165 [doi]
- High throughput and resource efficient AES encryption/decryption for SANsYi Wang 0016, Yajun Ha. 1166-1169 [doi]
- Secure communication system based on a logistic map and a linear feedback shift registerM. Garcia-Bosque, Carlos Sanchez-Azqueta, Santiago Celma. 1170-1173 [doi]
- Efficient multiplication architecture over truncated polynomial ring for NTRUEncrypt systemBingxin Liu, Huapeng Wu. 1174-1177 [doi]
- Towards efficient polynomial multiplication for lattice-based cryptographyChaohui Du, Guoqiang Bai. 1178-1181 [doi]
- A preliminary study on impact analysis of grid-connected PV systems considering additional transformer installationsShyh-Jier Huang, Te-Yu Tai, Wei-Fu Su, Xian-Zong Liu, Kuan-Te Wu, Hung-Yu Su. 1182-1185 [doi]
- Determination of supercapacitor metrics using a magnitude-only methodBrent J. Maundy, Ahmed S. Elwakil, Todd J. Freeborn, A. Allagui. 1186-1189 [doi]
- A new switched-capacitor based hybrid converter with large step-up DC gain and low voltage on its semiconductorsManxin Chen, Jiefeng Hu, Kerui Li, Adrian Ioinovici. 1190-1193 [doi]
- Modularized chain structure of switched capacitor for cell voltage equalizer with T-connected bi-directional switchTomoaki Shimizu, Hirotaka Koizumi. 1194-1197 [doi]
- Accurate runtime thermal prediction scheme for 3D NoC systems with noisy thermal sensorsYuxiang Fu, Li Li 0003, Hongbing Pan, Kun Wang, Feng Han, Jun Lin. 1198-1201 [doi]
- DMNI: A specialized network interface for NoC-based MPSoCsMarcelo Ruaro, Felipe B. Lazzarotto, César A. M. Marcon, Fernando Gehm Moraes. 1202-1205 [doi]
- A 28nm FD-SOI standard cell 0.6-1.2V open-loop frequency multiplier for low power SoC clockingMartin Cochet, Sylvain Clerc, Mehdi Naceur, Pierre Schamberger, Damien Croain, Jean-Luc Autran, Philippe Roche. 1206-1209 [doi]
- Energy efficient on-chip power delivery with run-time voltage regulator clusteringDivya Pathak, Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana, Houman Homayoun, Ioannis Savidis. 1210-1213 [doi]
- Python facilitates the rapid prototyping and hw/sw verification of processor centric SoCs for FPGAsEvangelos Logaras, Evangelos Koutsouradis, Elias S. Manolakos. 1214-1217 [doi]
- Optimal filter design for signal estimation based on linear time-variant system theoryKarlheinz Ochs, Tim Poguntke. 1218-1221 [doi]
- Biorthogonal filter banks constructed from four halfband filtersDavid B. H. Tay, Zhiping Lin. 1222-1225 [doi]
- Critical data length for period estimationSrikanth V. Tenneti, P. P. Vaidyanathan. 1226-1229 [doi]
- A matrix-based algorithm for the CLS design of centrally symmetric 2-D FIR filtersXiaoying Hong, Ruijie Zhao, Xiaoping Lai, Jiuwen Cao. 1230-1233 [doi]
- A greedy pursuit algorithm for arbitrary block sparse signal recoveryEnpin Yang, Xiao Yan, Kaiyu Qin. 1234-1237 [doi]
- Boosted sensing for enhanced read stability in STT-MRAMsKien Trinh Quang, Sergio Ruocco, Massimo Alioto. 1238-1241 [doi]
- Memristor-based 4: 2 compressor cells designAmirali Amirsoleimani, Majid Ahmadi, Mehri Teimoory, Arash Ahmadi. 1242-1245 [doi]
- Area-optimal sensing circuit designs in deep submicrometer STT-RAMSara Choi, Taehui Na, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang. 1246-1249 [doi]
- Design models of resistive crossbar arrays with selector devicesAlbert Ciprut, Eby G. Friedman. 1250-1253 [doi]
- A design of HTM spatial pooler for face recognition using memristor-CMOS hybrid circuitsTimur Ibrayev, Alex Pappachen James, Cory E. Merkel, Dhireesha Kudithipudi. 1254-1257 [doi]
- Visualizing the Laplace domainJohn W. Fattaruso. 1258-1261 [doi]
- Advanced nanometer technology analog layout retargeting for lithography friendly designXuan Dong, Lihong Zhang. 1262-1265 [doi]
- Efficient ILP-based variant-grid analog routerMohammad Torabi, Lihong Zhang. 1266-1269 [doi]
- Verilog-a modeling of Silicon Photo-MultipliersGianluca Giustolisi, Gaetano Palumbo, Paolo Finocchiaro, Alfio Pappalardo. 1270-1273 [doi]
- Conformal-mapping model for estimating the resistance of polygonal inductorsAhmed H. Shaltout, Stefano Gregori. 1274-1277 [doi]
- Passive rectifier/regulator combo circuits with embedded bandgap voltage referenceEdward K. F. Lee. 1278-1281 [doi]
- Toward complete analog fault coverage with minimal observation points using a fault propagation graphZhiqiang Liu, Shravan K. Chaganti, Degang Chen. 1282-1285 [doi]
- Wave digital filter based analog circuit emulation on FPGAWei Wu, Yen-Lung Chen, Yue Ma, Chien-Nan Jimmy Liu, Jing-Yang Jou, Sudhakar Pamarti, Lei He. 1286-1289 [doi]
- A 14.5 pW, 31 ppm/°C resistor-less 5 pA current reference employing a self-regulated push-pull voltage reference generatorHui Wang, Patrick P. Mercier. 1290-1293 [doi]
- Cross recurrence verification technique for process variation-resilient analog circuitsIbtissem Seghaier, Mohamed H. Zaki, Sofiène Tahar. 1294-1297 [doi]
- Battery-less modular responsive neurostimulator for prediction and abortion of epileptic seizuresHossein Kassiri, Nima Soltani, Muhammad Tariqus Salam, José Luis Pérez Velazquez, Roman Genov. 1298-1301 [doi]
- An ultra-high-density 256-channel/25mm2 neural sensing microsystem using TSV-embedded neural probesYu-Chieh Huang, Po-Tsang Huang, Shang-Lin Wu, Yu-Chen Hu, Yan-Huei You, Ming Chen, Yan-Yu Huang, Hsiao-Chun Chang, Yen-Han Lin, Jeng-Ren Duann, Tzai-Wen Chiu, Wei Hwang, Kuan-Neng Chen, Ching-Te Chuang, Jin-Chern Chiou. 1302-1305 [doi]
- A portable multi-channel potentiostat for real-time amperometric measurement of multi-electrode sensor arraysYaoxing Hu, Sanjiv Sharma, Jean Weatherwax, Anthony Cass, Pantelis Georgiou. 1306-1309 [doi]
- A 32-channel MCU-based feature extraction and classification for scalable on-node spike sortingDeren Y. Barsakcioglu, Timothy G. Constandinou. 1310-1313 [doi]
- A compact low-power VLSI architecture for real-time sleep stage classificationPeter Zhi Xuan Li, Hossein Kassiri, Roman Genov. 1314-1317 [doi]
- Hardware security meets biometrics for the age of IoTZimu Guo, Nima Karimian, Mark Mohammad Tehranipoor, Domenic Forte. 1318-1321 [doi]
- Online malware defense using attack behavior modelSanjeev Das, Hao Xiao, Yang Liu, Wei Zhang. 1322-1325 [doi]
- Security of neuromorphic systems: Challenges and solutionsBeiye Liu, Chaofei Yang, Hai Li, Yiran Chen, Qing Wu, Mark Barnell. 1326-1329 [doi]
- Securing pressure measurements using SensorPUFsJeyavijayan Rajendran, Jack Tang, Ramesh Karri. 1330-1333 [doi]
- Gate-level netlist reverse engineering for hardware security: Control logic register identificationTravis Meade, Yier Jin, Mark Tehranipoor, Shaojie Zhang. 1334-1337 [doi]
- Dynamically reconfigurable system for LVQ-based on-chip learning and recognitionFengwei An, Xiangyu Zhang, Lei Chen, Hans Jürgen Mattausch. 1338-1341 [doi]
- Binary image classification using a neurosynaptic processor: A trade-off analysisWilliam Murphy, Megan Renz, Qing Wu. 1342-1345 [doi]
- A nonparametric framework for quantifying generative inference on neuromorphic systemsOjash Neopane, Srinjoy Das, Ery Arias-Castro, Kenneth Kreutz-Delgado. 1346-1349 [doi]
- Self-repairing hardware with astrocyte-neuron networksJunxiu Liu, Jim Harkin, Liam P. Maguire, Liam McDaid, John J. Wade, Malachy McElholm. 1350-1353 [doi]
- A simple variable-width CMOS bump circuitBradley A. Minch. 1354-1357 [doi]
- Device modelling of bendable MOS transistorsHadi Heidari, William Taube Navaraj, Gergely Toldi, Ravinder Dahiya. 1358-1361 [doi]
- Fabrication, characterization and modeling of flexible electronic components based on CNT networksPaolo Lugli, Alaa Abdellah, Ahmed Abdelhalim, Andreas Albrecht, Markus Becherer, Engin Cagatay, Simone Colasanti, Aniello Falco, Florin Loghin, Sara El-Molla, Jose F. Salmeron, Almudena Rivadeneyra. 1362-1365 [doi]
- Wearable mobile sensor and communication platform for the in-situ monitoring of lower limb health in amputeesNeha Mathur, Ivan Glesk, Alan Davidson, Greig Paul, Jamie Banford, James Irvine, Arjan Buis. 1366-1369 [doi]
- Wireless capsule technology: Remotely powered improved high-sensitive barometric endoradiosondeValerio F. Annese, C. Martin, D. R. S. Cumming, Daniela De Venuto. 1370-1373 [doi]
- Hybrid large-area systems: Challenges in interfacingTiffany Moy, Sigurd Wagner, Warren Rieutort-Louis, Yingzhe Hu, Liechao Huang, Josue Sanz-Robinson, James C. Sturm, Naveen Verma. 1374-1377 [doi]
- Memristor cellular automata for image pattern recognition and clinical applicationsJacopo Secco, Marco Farina, Danilo Demarchi, Fernando Corinto, Marco Gilli. 1378-1381 [doi]
- RRAM-based TCAMs for pattern searchLe Zheng, Sangho Shin, G. Scott Lloyd, Maya Gokhale, Kyungmin Kim, Sung-Mo Kang. 1382-1385 [doi]
- Neuromorphic computing with hybrid memristive/CMOS synapses for real-time learningDaniele Ielmini, Stefano Ambrogio, V. Milo, Simone Balatti, Zhongqiang Wang. 1386-1389 [doi]
- A neuromorphic ASIC design using one-selector-one-memristor crossbarBonan Yan, Amr Mahmoud Mahmoud, Jianhua Joshua Yang, Qing Wu, Yiran Chen, Hai Helen Li. 1390-1393 [doi]
- A fully analog memristor-based neural network with online gradient trainingEyal Rosenthal, Sergey Greshnikov, Daniel Soudry, Shahar Kvatinsky. 1394-1397 [doi]
- A low power 2.4/5.2GHz concurrent receiver using current-reused architectureHung-Sheng Hsu, Qiu-Yue Duan, Yu-Te Liao. 1398-1401 [doi]
- An efficient reference-based adaptive antenna impedance matching CMOS circuitAlexandre Robichaud, Frederic Nabki, Dominic Deslandes. 1402-1405 [doi]
- A 635 μW non-contact compensation IC for body channel communicationKyoung-Rog Lee, Jaeeun Jang, Hyunwoo Cho, Hoi-Jun Yoo. 1406-1409 [doi]
- Low-power all-analog circuit for rectangular-type analog joint source channel codingXueyuan Zhao, Vidyasagar Sadhu, Dario Pompili. 1410-1413 [doi]
- An all-digital receiver for low power, low bit-rate applications using simultaneous wireless information and power transmissionRaul Gomez Cid-Fuentes, M. Yousof Naderi, Stefano Basagni, Kaushik R. Chowdhury, Albert Cabellos-Aparicio, Eduard Alarcón. 1414-1417 [doi]
- A 43.7 mW 94 fps CMOS image sensor-based stereo matching accelerator with focal-plane rectification and analog census transformationChanghyeon Kim, Kyeongryeol Bong, Sungpill Choi, Hoi-Jun Yoo. 1418-1421 [doi]
- Dynamic resolution event-based temporal contrast vision sensorHeng Guo, Jing Huang, Menghan Guo, Shoushun Chen. 1422-1425 [doi]
- A background subtraction based column-parallel analog-to-information converter for motion-triggered vision sensorXiaopeng Zhong, Bo Zhang, Amine Bermak. 1426-1429 [doi]
- Parallel HDR tone mapping and auto-focus on a cellular processor array vision chipJulien N. P. Martel, Lorenz K. Muller, Stephen J. Carey, Piotr Dudek. 1430-1433 [doi]
- Retinal ganglion cell software and FPGA model implementation for object detection and trackingDiederik Paul Moeys, Tobias Delbrück, Antonio Rios-Navarro, Alejandro Linares-Barranco. 1434-1437 [doi]
- Live demonstration: High-level optimization of ΣΔ modulators using multi-objetive evolutionary algorithmsManuel Velasco-Jimenez, Rafael Castro-López, Jose M. de la Rosa. 1438 [doi]
- Live demonstration of DLD-VISU: An eLearning platform for digital logic designAbdulhadi Shoufan. 1439 [doi]
- SoC FPAA IC, PCB, and tool demonstrationSihwan Kim, Farhan Adil, Scott Koziol, Stephen Nease, Michelle Collins, Sahil Shah, Matt Kagle, Jennifer Hasler. 1440 [doi]
- Demonstration of a remote FPAA system for research and educationSahil Shah, Jennifer Hasler, Sihwan Kim, Ishan Lal, Matt Kagle, Michelle Collins. 1441 [doi]
- Live demonstration: FPAA Demonstration Controlled through Android-Based DeviceBenjamin Bolte, Sahil Shah, Sihwan Kim, Philip Hwang, Jennifer Hasler. 1442 [doi]
- Live demonstration: Characterization of RRAM crossbar arrays at a click of a buttonRadu Berdan, Alexantrou Serb, Ali Khiat, Christos Papavassiliou, Themistoklis Prodromakis. 1443 [doi]
- Live demonstrator: Challenging the Bio-inspired Artificial Pancreas with a mixed-meal model libraryPau Herrero, Mohamed El-Sharkawy, Peter Pesl, Bernard Hernandez, Lorraine Choi, Osama M. Awara, Yu Lee, Jian Lim, Mohamed M. Yusof, Aaron Sheah, Liyangyi Yu, Pantelis Georgiou. 1444 [doi]
- Live demonstration: Retinal ganglion cell software and FPGA implementation for object detection and trackingDiederik Paul Moeys, Tobias Delbrück, Antonio Rios-Navarro, Alejandro Linares-Barranco. 1445 [doi]
- A pipeline ADC for very high conversion ratesDante Gabriel Muratore, Edoardo Bonizzoni, Franco Maloberti. 1446-1449 [doi]
- Spatially interleaved architecture for high-frequency data convertersBaptiste Grave, Amin Arbabian. 1450-1453 [doi]
- A 10-bit asynchronous SAR ADC with scalable conversion time in 0.18μm CMOSPo-Chiang Tung, Dune-Ting Fan, Tsung-Heng Tsai. 1454-1457 [doi]
- An ultra-low voltage, VCO-based ADC with digital background calibrationNeelakantan Narasimman, Tony T. Kim. 1458-1461 [doi]
- A PVT-tracking metastability detector for asynchronous ADCsYung-Hui Chung, Chia-Wei Yen. 1462-1465 [doi]
- A low power low latency comparator for ramp ADC in CMOS imagersAmandeep Kaur, Mukul Sarkar. 1466-1469 [doi]
- A flexible receiver using ΔΣ modulationMinh-Tien Nguyen, Chadi Jabbour, Van Tam Nguyen. 1470-1473 [doi]
- Low-cost dithering generator for accurate ADC linearity testYan Duan, Tao Chen, Degang Chen. 1474-1477 [doi]
- An on-chip para-C calibration architecture for successive approximation ADCYaguang Zhu, Jie Yuan. 1478-1481 [doi]
- A 12-bit SAR ADC with background self-calibration based on a MOSCAP-DAC with dynamic body-biasingTaimur Gibran Rabuske, Jorge R. Fernandes. 1482-1485 [doi]
- A digital calibration technique for wide-band CT MASH ΣΔ ADCs with relaxed filter requirementsChenming Zhang, Lucien J. Breems, Georgi I. Radulov, Muhammed Bolatkale, Hans Hegt, Arthur H. M. van Roermund. 1486-1489 [doi]
- A novel autocorrelation-based timing mismatch C alibration strategy in Time-Interleaved ADCsXiao Wang, Fule Li, Zhihua Wang. 1490-1493 [doi]
- High-level optimization of ΣΔ modulators using multi-objetive evolutionary algorithmsManuel Velasco-Jimenez, Rafael Castro-López, Jose M. de la Rosa. 1494-1497 [doi]
- Using www.sigma-delta.de to rapidly obtain ELD compensated CT ΣΔ modulatorsJohannes Wagner, Rudolf Ritter, Maurits Ortmanns. 1498-1501 [doi]
- Noise-cancelling sturdy MASH delta-sigma modulatorChangsok Han, Ahmed Fahmy, Nima Maghari. 1502-1505 [doi]
- Multi-stage delta-sigma modulator with a relaxed opamp gain using a back-end digital integratorChangsok Han, Taewook Kim, Arun Javvaji, Nima Maghari. 1506-1509 [doi]
- Gm-cell nonlinearity compensation technique using single-bit quantiser and FIR DAC in Gm-C based delta-sigma modulatorsDebajit Basak, Kong-Pang Pun. 1510-1513 [doi]
- A high frequency read-out channel for bio-impedance measurementMohammad Takhti, Yueh-Ching Teng, Kofi Odame. 1514-1517 [doi]
- An impedance detection circuit for applications in a portable biosensor systemXiaojian Yu, Mihai Esanu, Scott MacKay, Jie Chen, Mohamad Sawan, David S. Wishart, Wayne Hiebert. 1518-1521 [doi]
- A 343nW biomedical signal acquisition system powered by energy efficient (62.8%) power aware RF energy harvesting circuitPravanjan Patra, Kunal Yadav, Nagaveni Vamsi, Ashudeb Dutta. 1522-1525 [doi]
- Improved local optimization for adaptive bases non-rigid image registrationTak-Wai Shen, Kwok-Wai Cheung. 1526-1529 [doi]
- A wearable, multimodal, vitals acquisition unit for intelligent field triageChristoph Beck, Julius Georgiou. 1530-1533 [doi]
- A 1V, -26dBm sensitive auto configurable mixed converter mode RF energy harvesting with wide input rangeNagaveni Vamsi, V. Priya, Ashudeb Dutta, Shiv Govind Singh. 1534-1537 [doi]
- A low-power adjustable bandwidth biomedical signals acquisition SoC for continuous health monitoring systemYu-Pin Hsu, Zemin Liu, Mona Mostafa Hella. 1538-1541 [doi]
- On the accuracy improvement of low-power orientation filters using IMU and MARG sensor arraysOmid Sarbishei. 1542-1545 [doi]
- An efficient prime factor memory-based FFT processor for LTE systemsKaifeng Xia, Bin Wu, Xiaoping Zhou, Tao Xiong. 1546-1549 [doi]
- Phase shift keying demodulator with decision feedback phase-locked loopJae-Whan Lee, Mino Kim, Jihwan Park, Gi-Moon Hong, Suhwan Kim. 1550-1553 [doi]
- A reconfigurable IF receiver supporting intra-band non-contiguous carrier aggregation in 65 nm CMOSZipeng Chen, Zheng Song, Meng Wei, Zhihua Wang, Baoyong Chi. 1554-1557 [doi]
- A low power sub-harmonic injection locked 2×2 mm-wave beamforming receiver arraySuman Prasad Sah, Pawan Agarwal, Deukhyoun Heo. 1558-1561 [doi]
- Design considerations of Ku-band high gain wideband CMOS power amplifier for FMCW radar applicationBo Chen, Liheng Lou, Kai Tang, Supeng Liu, Yong Wang, Jianjun Gao, Yuanjin Zheng. 1562-1565 [doi]
- Phase aligned mm-wave injection locked power amplifierOmar El-Aassar, Mohamed El-Nozahi, Hani F. Ragai. 1566-1569 [doi]
- Programmable 28nm coprocessor for HEVC/H.265 in-loop filtersIlkka Hautala, Jani Boutellier, Olli Silvén. 1570-1573 [doi]
- Scalable rasterizer architecture for 3D graphics systemYeong-Kang Lai, Yu-Chieh Chung. 1574-1577 [doi]
- VLSI architecture design of weighted mode filter for Full-HD depth map upsampling at 30fpsLi-De Chen, Yu-Ling Hsiao, Chao-Tsung Huang. 1578-1581 [doi]
- Hybrid digital-analog scheme for video transmission over fading channelJian Shen, Lei Yu, Houqiang Li. 1582-1585 [doi]
- Perceptual image quality assessment combining free-energy principle and sparse representationYutao Liu, Guangtao Zhai, Xianming Liu, Debin Zhao. 1586-1589 [doi]
- Physics model of memristor devices with varying active materialsHeba Abunahla, Nadeen El Nachar, Dirar Homouz, Baker Mohammad, Maguy Abi Jaoude. 1590-1593 [doi]
- Design of resistive non-volatile memories for rad-hard applicationsNicola Lupo, Cristiano Calligaro, Roberto Gastaldi, Christian Wenger, Franco Maloberti. 1594-1597 [doi]
- An ultra-low voltage RRAM read-out technique employing dithering principlesJinling Xing, Alexander Serb, Themistoklis Prodromakis. 1598-1601 [doi]
- BiFeO3 memristor-based encryption of medical dataAlon Ascoli, Vanessa Senger, Ronald Tetzlaff, Nan Du, Oliver G. Schmidt, Heidemarie Schmidt. 1602-1605 [doi]
- A more accurate steady state analysis of zero-voltage switching quasi-resonant convertersLisong Li, Yuan Gao, Philip K. T. Mok. 1606-1609 [doi]
- Mixed-signal PI controller in current-mode DC-DC buck converter for automotive applicationsYasser Moursy, Raouf Khalil, Sergio Lecce, Vanni Poletto, Ramy Iskander, Marie-Minerve Louërat. 1610-1613 [doi]
- A high efficiency single-inductor dual-output buck converter with adaptive freewheel current and hybrid mode controlJing Xu, Zhaoyang Weng, Hanjun Jiang, Chun Zhang, Zhihua Wang, Qingliang Lin. 1614-1617 [doi]
- A low-cost multi-phase 3A buck converter with improved ripple cancellation for wide supply rangeK. T. Hafeez, Ashudeb Dutta, Shiv Govind Singh, Krishna Kanth Gowri Avalur. 1618-1621 [doi]
- Inductive power transfer system with a rotary transformer for contactless energy transfer on rotating applicationsStefan Ditze, Achim Endruschat, Thomas Schriefer, Andreas Rosskopf, Thomas Heckel. 1622-1625 [doi]
- Dynamic model of on-chip inverting capacitive charge pumps with charge reusingE. Ferro, Victor M. Brea, Paula López, Diego Cabello. 1626-1629 [doi]
- A 360 V high voltage reconfigurable charge pump in 0.8 μm CMOS for optical MEMS applicationsPhilippe-Olivier Beaulieu, Abdul Hafiz Alameh, Michael Menard, Frederic Nabki. 1630-1633 [doi]
- SRAM voltage stackingElnaz Ebrahimi, Rafael Trapani Possignolo, Jose Renau. 1634-1637 [doi]
- Converter design for fuel cells enhanced with cooperation of lithium batteries and supercapacitorsShyh-Jier Huang, Pin-Yuan Wen, Te-Chun Hung, Yu-Ren Lin. 1638-1641 [doi]
- Multivariable DG impedance modeling for the microgrid stability assessmentMaher A. Azzouz, Ehab F. El-Saadany. 1642-1645 [doi]
- A fast locking hybrid TDC-BB ADPLL utilizing proportional derivative digital loop filter and power gated DCOAmr Lotfy, Maged Ghoneima, Mohamed Abdel-moneum. 1646-1649 [doi]
- Comparative analysis of differential colpitts and cross-coupled VCOs in 180 nm Si-Ge HBT technologyValerio Marotta, Giuseppe Macera, Michael Peter Kennedy, Ettore Napoli. 1650-1653 [doi]
- A 3.1-4.2 GHz automatic amplitude control loop VCO with constant Kvco and <10mV amplitude variationDongyang Yan, Lei Zhang, Li Zhang, Yan Wang. 1654-1657 [doi]
- A method to quantify the dependence of spur heights on offset current in a CP-PLLMichael Peter Kennedy, Hongjia Mo, Zhen Huang, Joao Paulo Lana. 1658-1661 [doi]
- A theoretical analysis of phase shift in pulse injection-locked oscillatorsJinhyung Lee, SungWoo Kim, Min-Seong Choo, Sung-Yong Cho, Han-Gon Ko, Deog Kyoon Jeong. 1662-1665 [doi]
- A novel current steering charge pump with low current mismatch and variationAya G. Amer, Sameh A. Ibrahim, Hani F. Ragai. 1666-1669 [doi]
- Ternary max-min algebra for representation of reversible logic functionsMusharrat Khan, Jacqueline E. Rice. 1670-1673 [doi]
- Synthesis of reversible logic functions using ternary Max-Min algebraMusharrat Khan, Jacqueline E. Rice. 1674-1677 [doi]
- Automated synthesis of stochastic computational elements using decision proceduresAmad Ul Hassen, Brigadesh Chandrasekar, Sumit Kumar Jha. 1678-1681 [doi]
- Energy-efficient power trimming for reliable nanophotonic NoC microring resonatorsMo Yang, Paul Ampadu. 1682-1685 [doi]
- An all-digital fast tracking switching converter with a programmable order loop controller for envelope tracking RF power amplifiersNijad Anabtawi, Rony Ferzli, Haidar M. Harmanani. 1690-1693 [doi]
- Real time low complexity VLSI decoder for prefix coded imagesAtif Iqbal Ahangar, Rajat Agarwal, Kartik Lakhotia. 1694-1697 [doi]
- A hardware security solution against scan-based attacksAnkit Mehta, Darius Saif, Rashid Rashidzadeh. 1698-1701 [doi]
- A self-learning framework to detect the intruded integrated circuitsFaiq Khalid Lodhi, I. Abbasi, F. Khalid, Osman Hasan, Falah Awwad, Syed Rafay Hasan. 1702-1705 [doi]
- AES design improvement towards information safetyLiang Wu, Xiaoxiao Wang, Xiaoying Zhao, Yuanqing Cheng, Donglin Su, Aixin Chen, Qihang Shi, Mark Tehranipoor. 1706-1709 [doi]
- Is the Secure IC camouflaging really secure?Xueyan Wang, Qiang Zhou, Yici Cai, Gang Qu. 1710-1713 [doi]
- Reducing logic encryption overhead through gate level key insertionKyle Juretus, Ioannis Savidis. 1714-1717 [doi]
- Automatic word-level abstraction of datapathCunxi Yu, Maciej J. Ciesielski. 1718-1721 [doi]
- A new countermeasure against scan-based side-channel attacksYanhui Luo, Aijiao Cui, Gang Qu, Huawei Li. 1722-1725 [doi]
- An efficient soft decision-directed algorithm for blind equalization of 4-QAM systemsJin Li, Da-Zheng Feng, Wei Xing Zheng. 1726-1729 [doi]
- Matrix reordering for efficient list sphere decoding of polar codesSeyyed Ali Hashemi, Carlo Condo, Warren J. Gross. 1730-1733 [doi]
- Performance comparison of Energy and Cyclostationary Spectrum detection in cooperative cognitive radios networkZhenyu Liu, Rafaqat Ali, Imran Khan, Ibrar Ali Khan, Abrar Ali Shah. 1734-1737 [doi]
- A measure for the missed error detection probability for optimizing the forbidden symbol configuration in joint source-channel arithmetic codesHossein Kourkchi, William E. Lynch, M. Omair Ahmad. 1742-1745 [doi]
- A 101.4 GOPS/W reconfigurable and scalable control-centric embedded processor for domain-specific applicationsNing Ma, Zhuo Zou, Zhonghai Lu, Li-Rong Zheng, Yuxiang Huan, Stefan Blixt. 1746-1749 [doi]
- SVA checker generator for FPGA-based verification platformNurita Mohamad, Chia Yee Ooi, Nordinah Bt Ismail, Jwing Teh. 1750-1753 [doi]
- A practical design method for prototyping self-timed processors using FPGAsMickael Fiorentino, Yvon Savaria, Claude Thibeault, Pascal Gervais. 1754-1757 [doi]
- An efficient FPGA-based database processor for fast database analyticsXuan-Thuan Nguyen, Hong-Thu Nguyen, Trong-Thuc Hoang, Katsumi Inoue, Osamu Shimojo, Toshio Murayama, Kenji Tominaga, Cong-Kha Pham. 1758-1761 [doi]
- High performance low overhead template-based Cell-Interleave Pipeline (TCIP) for asynchronous-logic QDI circuitsWeng-Geng Ho, Nan Liu, Kyaw Zwa Lwin Ne, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang. 1762-1765 [doi]
- Benchmarking photon-limited performance of optic flow processing algorithmsAndrew Berkovich, Geoffrey L. Barrows, Pamela Abshire. 1766-1769 [doi]
- Fast intra prediction algorithm and design for high efficiency video codingHan-Chiou Fang, Hung-Cheng Chen, Tian-Sheuan Chang. 1770-1773 [doi]
- Color space identification from single imagesHaoliang Li, Alex C. Kot, Leida Li. 1774-1777 [doi]
- A system-on-chip FPGA design for real-time traffic signal recognition systemYuteng Zhou, Zhilu Chen, Xinming Huang. 1778-1781 [doi]
- Reconfigurable blocker-tolerant RF front-end filter with tunable notch for active cancellation of transmitter leakage in FDD receiversM. Naimul Hasan, Qun Jane Gu, Xiaoguang Liu. 1782-1785 [doi]
- Design of high-order type-II delay-locked loops using a Gaussian transfer function approachYan Li, Gordon W. Roberts. 1786-1789 [doi]
- Time-mode techniques for fast-locking phase-locked loopsDurand Jarrett-Amor, Young-Jun Park, Fei Yuan. 1790-1793 [doi]
- Property of rational functions related to band-pass transformationIgor M. Filanovsky. 1794-1797 [doi]
- Analog integrated circuit design using fixed-length devicesDaniel H. Saari, David G. Nairn. 1798-1801 [doi]
- Precise time mode multiplier using digital primitives and passive componentsRobert D'Angelo, Sameer R. Sonkusale. 1802-1805 [doi]
- A 48 μW, 8.88 × 10-3 W/W batteryless energy harvesting BCC identification systemJihee Lee, Yongsu Lee, Hyunwoo Cho, Hoi-Jun Yoo. 1806-1809 [doi]
- Approaching the limits of piezoelectricity driven hot-electron injection for self-powered in vivo monitoring of micro-strain variationsLiang Zhou, Adam C. Abraham, Simon Y. Tang, Shantanu Chakrabartty. 1810-1813 [doi]
- The design of high efficiency energy receiving coil for micro-ball EndoscopyYang Yang, Xiang Xie, Guolin Li, Huanhuan Li, Yadong Huang, Zhihua Wang. 1814-1817 [doi]
- Automated environment aware nW FOCV - MPPT controller for self-powered IoT applicationsMurali K. Rajendran, Shourya Kansal, Ajay Mantha, V. Priya, Y. B. Priyamvada, Ashudeb Dutta. 1818-1821 [doi]
- Automated design of a 13.56 MHz corner-robust efficient differential drive rectifier for 10 μA loadPierre-Antoine Haddad, Jean-Pierre Raskin, Denis Flandre. 1822-1825 [doi]
- Neural tissue and brain interfacing CMOS devices - An introduction to state-of-the-art, current and future challengesRoland Thewes, Gabriel Bertotti, Norman Dodel, Stefan Keil, Sven Schroder, Karl-Heinz Boven, Günther Zeck, Mufti Mahmud, Stefano Vassanelli. 1826-1829 [doi]
- Integrated systems for high-fidelity sensing and manipulation of brain neurochemistryBardia Bozorgzadeh, Pedram Mohseni. 1830-1833 [doi]
- Area reduction techniques for deep-brain probes with electronic depth controlMatthias Kuhl, Yiannos Manoli. 1834-1837 [doi]
- Tradeoffs between wireless communication and computation in closed-loop implantable devicesMuhammad Tariqus Salam, Hossein Kassiri, Nima Soltani, Haoyu He, José Luis Pérez Velazquez, Roman Genov. 1838-1841 [doi]
- Pulse-based feature extraction for hardware-efficient neural recording systemsAritra Bhaduri, Enyi Yao, Arindam Basu. 1842-1845 [doi]
- Multiobjective path planning on uneven terrains based on NAMOANuwan Ganganath, Chi-Tsun Cheng, Chi K. Tse. 1846-1849 [doi]
- True random number generators as configware for mixed mode programmable systems on chipSergio Callegari. 1850-1853 [doi]
- The simplest memristor in the worldZdenek Biolek, Dalibor Biolek, Jiri Vavra, Viera Biolkova, Zdenek Kolka. 1854-1857 [doi]
- Control of autonomous continuous systems using parametric perturbationsAlexander Jimenez Triana, Efrain Garcia-Quiroga. 1858-1861 [doi]
- On noise-to-state stability of random nonlinear systems with switchingsTicao Jiao, Wei Xing Zheng. 1862-1865 [doi]
- A hierarchical ZnO nanostructure gas sensor for human breath-level acetone detectionJiaqi Chen, Xiaofang Pan, Farid Boussaïd, Amine Bermak, Zhiyong Fan. 1866-1869 [doi]
- Flow-based computing on nanoscale crossbars: Design and implementation of full addersZahiruddin Alamgir, Karsten Beckmann, Nathaniel C. Cady, Alvaro Velasquez, Sumit Kumar Jha. 1870-1873 [doi]
- Parallel boolean matrix multiplication in linear time using rectifying memristorsAlvaro Velasquez, Sumit Kumar Jha. 1874-1877 [doi]
- Stochastic behavior-considered VLSI CAD environment for MTJ/MOS-hybrid microprocessor designMasanori Natsui, Akira Tamakoshi, Akira Mochizuki, Hiroki Koike, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu. 1878-1881 [doi]
- A new level sensitive D Latch using Ballistic nanodevicesPoorna Marthi, Nazir Hossain, Jean-François Millithaler, Martin Margala. 1882-1885 [doi]
- Efficient architecture for soft-output massive MIMO detection with Gauss-Seidel methodZhizheng Wu, Chuan Zhang, Ye Xue, Shugong Xu, Xiaohu You. 1886-1889 [doi]
- A near-optimal detector for spatial modulation MIMO systemsGwang-Ho Lee, Hye-Yeon Yun, Tae-Hwan Kim. 1890-1893 [doi]
- FPGA design of a coordinate descent data detector for large-scale MU-MIMOMichael Wu, Chris Dick, Joseph R. Cavallaro, Christoph Studer. 1894-1897 [doi]
- VLSI implementation of incremental fixed-complexity LLL lattice reduction for MIMO detectionQingsong Wen, Xiaoli Ma. 1898-1901 [doi]
- Low-complexity hybrid beam-tracking algorithms and architectures for mmWave MIMO systemsKai-Neng Hsu, Cheng-Gang He, Yuan-Hao Huang. 1902-1905 [doi]
- In-pixel voltage-controlled ring-oscillator for phase interpolation in ToF image sensorsI. Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. 1906-1909 [doi]
- Time-of-flight chip in standard CMOS technology with in-pixel adaptive number of accumulationsJ. Illade-Quinteiro, Victor M. Brea, Paula López, Diego Cabello. 1910-1913 [doi]
- A 64×64 image energy harvesting configurable image sensorWalter D. Leon-Salas, Thomas Fischer, Xiaozhe Fan, Golsa Moayeri, Shaocheng Luo. 1914-1917 [doi]
- An integrating wide dynamic range nMOS pixel with a logarithmic reference voltage generatorMus'ab Shaharom, Steve Collins. 1918-1921 [doi]
- A 14-bit differential-ramp single-slope column-level ADC for 640×512 uncooled infrared imagerDahe Liu, Wengao Lu, Zhongjian Chen, Yacong Zhang, Shuyu Lei, Guo Tan. 1922-1925 [doi]
- Layer ordering to minimize TSVs in heterogeneous 3-D ICsBoris Vaisband, Eby G. Friedman. 1926-1929 [doi]
- Accuracy-improved coupling capacitance model for through-silicon via (TSV) arrays using dimensional analysisTarek Ramadan, Eslam Yahya, Mohamed Dessouky, Yehea Ismail. 1930-1933 [doi]
- TCG-SP: An improved floorplan representation based on an efficient hybrid of Transitive Closure Graph and Sequence PairTaher Kourany, Emad Hegazi, Yehea Ismail. 1934-1937 [doi]
- Crosstalk noise effects of on-chip inductive links on power delivery networksIoannis A. Papistas, Vasilis F. Pavlidis. 1938-1941 [doi]
- Power-aware through-silicon-via minimization by partitioning finite state machine with datapathAyub Chin Abdullah, Chia Yee Ooi, Nordinah Bt Ismail, Nurita Binti Mohammad. 1942-1945 [doi]
- A computationally-efficient PWM technique for digital class-D amplifiersChih-Min Chang, Jieh-Tsorng Wu. 1946-1949 [doi]
- A novel computationally-efficient digital frequency locking scheme for software defined radio MODEMAndrew Slaney, Yichuang Sun, Oluyomi Simpson. 1950-1953 [doi]
- Deep learning neural networks optimization using hardware cost penaltyRohan Doshi, Kwok-Wai Hung, Luhong Liang, King Hung Chiu. 1954-1957 [doi]
- PVT-aware digital techniques for a power line energy-harvesting sensor nodeSherry Joy Alvionne V. Sebastian, John Richard E. Hizon, Louis P. Alarcón. 1958-1961 [doi]
- Using template matching and compressed sensing techniques to enhance performance of neural spike detection and data compression systemsNan Li, Morgan Osborn, Mohamad Sawan, Liang Fang. 1962-1965 [doi]
- A VLSI-efficient signed magnitude comparator for { 2n-1, 2n, 2n +2n+1-1} RNSSachin Kumar, Chip-Hong Chang. 1966-1969 [doi]
- Approximate adder with output correction for error tolerant applications and Gaussian distributed inputsDarjn Esposito, Gerardo Castellano, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio G. M. Strollo. 1970-1973 [doi]
- Design and evaluation of an approximate Wallace-Booth multiplierLiangyu Qian, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi, Jie Han. 1974-1977 [doi]
- Dynamic delay variation behaviour of RNS multiply-add architecturesKleanthis Papachatzopoulos, Ioannis Kouretas, Vassilis Paliouras. 1978-1981 [doi]
- A LUT-based matrix multiplication using neural networksZarrin Tasnim Sworna, Mubin Ul Haque, Hafiz Md. Hasan Babu. 1982-1985 [doi]
- A novel 3D model recognition approach using Pitman-Yor process mixtures of Beta-Liouville DistributionsWentao Fan, Faisal R. Al-Osaimi, Nizar Bouguila. 1986-1989 [doi]
- HEVC compressed domain moving object detection and classficationLiang Zhao, Debin Zhao, Xiaopeng Fan, Zhihai He. 1990-1993 [doi]
- Fast model searching and combining for example learning-based super-resolutionChun-Wei Chen, Fang-Kai Hsu, Der-Wei Yang, Jonas Wang, Ming-Der Shieh. 1994-1997 [doi]
- A super-fast online face tracking system for video surveillanceXiaosong Lan, Zhiwei Xiong, Wei Zhang, Shuxiao Li, Hongxing Chang, Wenjun Zeng. 1998-2001 [doi]
- Power-on digital calibration method for delta-Sigma ADCsJinzhou Cao, Xin Meng, Gabor C. Temes, Wenhuan Yu. 2002-2005 [doi]
- Low power DT delta-sigma modulator with ring amplifier SC-integratorTakuma Suguro, Hiroki Ishikuro. 2006-2009 [doi]
- A low-power high-speed comparator for analog to digital convertersAta Khorami, Mahmood Baraani Dastjerdi, Ali Fotowat Ahmadi. 2010-2013 [doi]
- Comparator common-mode variation effects analysis and its application in SAR ADCsLong Chen, Arindam Sanyal, Ji Ma, Xiyuan Tang, Nan Sun. 2014-2017 [doi]
- The effect of amplitude resolution and mismatch on a digital-to-analog converter used for digital harmonic-cancelling sine-wave synthesisPasindu Aluthwala, Neil Weste, Andrew Adams, Torsten Lehmann, Sri Parameswaran. 2018-2021 [doi]
- Design of CMOS telemetry circuits for in-vivo wireless sonomicrometryYarub Alazzawi, Shantanu Chakrabartty. 2022-2025 [doi]
- An implantable wireless multi-channel neural prosthesis for epidural stimulationDai Jiang, Clemens Eder, Timothy A. Perkins, Anne Vanhoestenberghe, Matthew Schormans, Fangqi Liu, Virgilio Valente, Nick Donaldson, Andreas Demosthenous. 2026-2029 [doi]
- Adaptive transmitting coil array for optimal power transfer in deeply implanted medical devicesJinghui Jian, Milutin Stanacevic. 2030-2033 [doi]
- A self-adaptive body channel communication scheme for backward path loss reductionJingna Mao, Bo Zhao, Yong Lian, Huazhong Yang. 2034-2037 [doi]
- A double-carrier wireless power and data telemetry for implantable biomedical systemsM. Najjarzadegan, I. Ghotbi, S. Jafarabadi Ashtiani, Omid Shoaei. 2038-2041 [doi]
- The ultimate IoT application: A cyber-physical system for ambient assisted livingDaniela De Venuto, Valerio F. Annese, Alberto L. Sangiovanni-Vincentelli. 2042-2045 [doi]
- A new circuit design framework for IoT devices: Charge-recycling with wireless power harvestingTutu Wan, Emre Salman, Milutin Stanacevic. 2046-2049 [doi]
- Hardware security assurance in emerging IoT applicationsJaya Dofe, Jonathan Frey, Qiaoyan Yu. 2050-2053 [doi]
- Analog front end design for tags in backscatter-based tag-to-tag communication networksAkshay Athalye, Jinghui Jian, Yasha Karimi, Samir R. Das, Petar M. Djuric. 2054-2057 [doi]
- Infrastructural health monitoring using self-powered Internet-of-ThingsKenji Aono, Nizar Lajnef, Fred Faridazar, Shantanu Chakrabartty. 2058-2061 [doi]
- A self-consistent Carleman linearization technique for the large signal analysis of nonlinear circuitsHarry Weber, Wolfgang Mathis. 2062-2065 [doi]
- A circuit model for open-loop compensation of hysteresisAlberto Oliveri, Flavio Stellino, Mauro Parodi, Marco Storace. 2066-2069 [doi]
- A tail-resonance calibration technique for wide tuning range LC VCOsAbhishek Bhat, Nagendra Krishnapura. 2070-2073 [doi]
- On the temperature dependence of subthreshold currents in MOS electron inversion layers, revisitedBrian P. Degnan, Jennifer Hasler. 2074-2077 [doi]
- Stochastic synaptic plasticity with memristor crossbar arraysRawan Naous, Maruan Al-Shedivat, Emre Neftci, Gert Cauwenberghs, Khaled Nabil Salama. 2078-2081 [doi]
- A stochastic approach to STDPRunchun Wang, Chetan Singh Thakur, Tara Julia Hamilton, Jonathan Tapson, André van Schaik. 2082-2085 [doi]
- Stochastic image processing and simultaneous dewarping for aerial vehiclesJamal Lottier Molin, John Rattray, Ralph Etienne-Cummings. 2086-2089 [doi]
- Synaptic sampling in hardware spiking neural networksSadique Sheik, Somnath Paul, Charles Augustine, Chinnikrishna Kothapalli, Muhammad M. Khellah, Gert Cauwenberghs, Emre Neftci. 2090-2093 [doi]
- Spiking analog VLSI neuron assemblies as constraint satisfaction problem solversJonathan Binas, Giacomo Indiveri, Michael Pfeiffer. 2094-2097 [doi]
- Novel linear analog-adder using a-IGZO TFTsPydi Ganga Bahubalindruni, Vitor Grade Tavares, Elvira Fortunato, Rodrigo Martins, Pedro Barquinha. 2098-2101 [doi]
- An efficient design technique of a quantum divider circuitSayanton Vhaduri Dibbo, Hafiz Md. Hasan Babu, Lafifa Jamal. 2102-2105 [doi]
- Investigation of BTI reliability for monolithic 3D 6T SRAM with ultra-thin-body GeOI MOSFETsVita Pi-Ho Hu, Pin Su, Ching-Te Chuang. 2106-2109 [doi]
- An accurate phase shift detector using bulk voltage boosting technique for sensing applicationsYu-Ting Tu, Deng-Shian Wang, Chua-Chin Wang. 2110-2113 [doi]
- A Methodology for Standard Cell Design for QCADayane Alfenas Reis, Caio Araujo T. Campos, Thiago Rodrigues B. S. Soares, Omar Paranaiba Vilela Neto, Frank Sill Torres. 2114-2117 [doi]
- A compact dual-band 5dBm RF power amplifier for cellular applicationsAparna Girija, Sankaran Aniruddhan. 2118-2121 [doi]
- A 4 dBm IP1dB 20.8 dBm UP3 wideband complementary SF feedback LNTA with derivative superposition methodJun Chen, Benqing Guo, Boyang Zhang, Guangjun Wen. 2122-2125 [doi]
- A generalized conflict-free address scheme for arbitrary 2k-point memory-based FFT processorsKaifeng Xia, Bin Wu, Xiaoping Zhou, Tao Xiong. 2126-2129 [doi]
- Digitally controlled oscillator gain estimation for RF-DPLLs in 4G LTE polar transmittersT. Buckel, Stefan Tertinek, R. S. Kanumalli, Thomas Mayer, Christian Wicpalek, Robert Weigel, Thomas Ussmueller. 2130-2133 [doi]
- Steady-state analysis for hybrid AC/DC microgridsAmr A. Hamad, Ehab F. El-Saadany. 2134-2137 [doi]
- Consensus-based distributed droop control of VSGs for isolated AC micro-grids by ADMMsLin-Yu Lu, Chia-Chi Chu. 2138-2141 [doi]
- An analytical fault model for direct current linesChristian Strobl, Maximilian Schafer, Rudolf Rabenstein. 2142-2145 [doi]
- Mapping nodal power injections to branch flows in connected LTI electrical networksYu Christine Chen, Abdullah Al-Digs, Sairaj V. Dhople. 2146-2149 [doi]
- Parametric average-value modeling of diode rectifier circuits in nodal analysis EMTP-type solutionHamid Atighechi, Seyyedmilad Ebrahimi, Sina Chiniforoosh, Juri Jatskevich. 2150-2153 [doi]
- Efficient error detection architectures for CORDIC through recomputing with encoded operandsMehran Mozaffari Kermani, Rajkumar Ramadoss, Reza Azarderakhsh. 2154-2157 [doi]
- A hybrid adaptive CORDIC in 65nm SOTB CMOS processTrong-Thuc Hoang, Duc-Hung Le, Hong-Thu Nguyen, Xuan-Thuan Nguyen, Cong-Kha Pham. 2158-2161 [doi]
- Implementation of switching circuit models as transfer functionsDavid Kebo Houngninou, Mitchell A. Thornton. 2162-2165 [doi]
- Towards formal abstraction, modeling, and analysis of Single Event Transients at RTLGhaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria. 2166-2169 [doi]
- A hybrid SDC/SDF architecture for area and power minimization of floating-point FFT computationsMingyu Wang, Zhaolin Li. 2170-2173 [doi]
- A unified approach to the design of interpolated and frequency-response-masking FIR filtersWu-Sheng Lu, Takao Hinamoto. 2174-2177 [doi]
- Realization with minimal weighted pole and zero sensitivity subject to ℓ2-scaling constraints for recursive digital filtersTakao Hinamoto, Akimitsu Doi, Wu-Sheng Lu. 2178-2181 [doi]
- Improved design of digital 1-D and 2-D notch filters using general feedback structureSoo-Chang Pei, Bo-Yi Guo, Wen-Yang Lu, Gerald E. Sobelman, Yun-Da Huang. 2182-2185 [doi]
- Minimax design and order estimation of FIR filters for extending the bandwidth of ADCsYinan Wang, Håkan Johansson, Hui Xu, Jietao Diao. 2186-2189 [doi]
- IIR digital filter design by partial second-order factorization and iterative WLS approachAimin Jiang, Hon Keung Kwan, Yanping Zhu, Ning Xu, Xiaofeng Liu. 2190-2193 [doi]
- Hardware-oriented adaptive multi-resolution motion estimation algorithm and its VLSI architectureGuoqing Xiang, Huizhu Jia, Jie Liu, Yuan Li, Xiaodong Xie. 2194-2197 [doi]
- Auto-adaptive multi-sensor architectureAli Isavudeen, Nicolas Ngan, Eva Dokladalova, Mohamed Akil. 2198-2201 [doi]
- An HEVC multi-size DCT hardware with constant throughput and supporting heterogeneous CUsJones Goebel, Guilherme Paim, Luciano Volcan Agostini, Bruno Zatt, Marcelo Schiavon Porto. 2202-2205 [doi]
- Block matching based real-time optical flow hardware implementationKerem Seyid, Andrea Richaud, Raffaele Capoccia, Yusuf Leblebici. 2206-2209 [doi]
- Hardware implementation of a real-time tone mapping algorithm based on a mantissa-exponent representationUlian Shahnovich, Alain Horé, Orly Yadid-Pecht. 2210-2213 [doi]
- Quality assessment of contrast-altered imagesMin Liu, Ke Gu, Guangtao Zhai, Jiantao Zhou, Weisi Lin. 2214-2217 [doi]
- Quality assessment of tone-mapped images based on sparse representationLijuan Xie, Xiang Zhang, Shiqi Wang, Xinfeng Zhang, Siwei Ma. 2218-2221 [doi]
- Blindly evaluating stereoscopic image quality with free-energy principleYucheng Zhu, Guangtao Zhai, Ke Gu, Min Liu. 2222-2225 [doi]
- Subset noise bias compensation for tone-mapping and up-scaling of JPEG imagesMasahiro Iwahashi, Tin Moe Aye, Taichi Yoshida, Hitoshi Kiya. 2226-2229 [doi]
- An approach to image compression using R-D optimal OMP selectionMinqiang Jiang, Madhusudan Kalluri, Nam Ling, Jianhua Zheng, Philipp Zhang. 2230-2233 [doi]
- Design and modeling of PLL-based clock and data recovery circuits with periodically embedded clock encoding for intra-panel interfacesHsi-En Liu, Chun-Jen Su, Chih-Kang Cheng, Wen-Kuen Liu. 2234-2237 [doi]
- A 83dB SNDR low power readout ASIC for piezoresistive nanogauge based gyroscopesA. Nikas, O. Leman, H. Zhou, J. L. Lagos, B. J. Vinchhi, J. Hauer. 2238-2241 [doi]
- A novel high-voltage 5.5 V resilient, floating and full-scale 3.3 V pulse-triggered level-shifterNicolas Laflamme-Mayer, Mathieu Renaud. 2242-2245 [doi]
- PAM4 receiver with adaptive threshold voltage and adaptive decision feedback equalizerLiangxiao Tang, Weixin Gai, Linqi Shi. 2246-2249 [doi]
- An amplifier-shared inverter-based MASH structure ΔΣ modulator for smart sensor interfacesMohammad Honarparvar, Mona Safi-Harb, Mohamad Sawan. 2250-2253 [doi]
- CMOS capacitive sensor array for continuous adherent cell growth monitoringGhazal Nabovati, Ebrahim Ghafar-Zadeh, Antoine Letourneau, Mohamad Sawan. 2254-2257 [doi]
- An efficient thermal energy harvesting and power management for μWatt wearable BioChipsMohammad Alhawari, Dima Kilani, Baker Mohammad, Hani H. Saleh, Mohammed Ismail. 2258-2261 [doi]
- A biomedical SoC architecture for predicting ventricular arrhythmiaTemesghen Tekeste, Hani H. Saleh, Baker Mohammad, Ahsan H. Khandoker, Mohammed Ismail. 2262-2265 [doi]
- Lab-on-CMOS: A multi-modal CMOS sensor platform towards personalized DNA sequencingYu Jiang, Xu Liu, Xiwei Huang, Yang Shang, Mei Yan, Hao Yu. 2266-2269 [doi]
- CNN oriented fast HEVC intra CU mode decisionZhenyu Liu, Xianyu Yu, Shaolin Chen, Dongsheng Wang. 2270-2273 [doi]
- Dynamic hand gesture recognition for wearable devices with low complexity recurrent neural networksSungho Shin, Wonyong Sung. 2274-2277 [doi]
- Associative memory with occurrence statisticsMika Laiho, Eero Lehtonen, Jussi H. Poikonen, Pentti Kanerva. 2278-2281 [doi]
- Effective sensor fusion with event-based sensors and deep network architecturesDaniel Neil, Shih-Chii Liu. 2282-2285 [doi]
- Impulsive stabilization of periodic solutions of recurrent neural networks with discrete and distributed delaysWu-Hua Chen, Shixian Luo, Wei Xing Zheng. 2286-2289 [doi]
- An extension of transformation-based reversible and quantum circuit synthesisMathias Soeken, Gerhard W. Dueck, Md. Mazder Rahman, D. Michael Miller. 2290-2293 [doi]
- Low-quantum cost circuit constructions for adder and symmetric Boolean functionsAnupam Chattopadhyay, Anubhab Baksi. 2294-2297 [doi]
- A pre-optimization technique to generate initial reversible circuits with low quantum costNurul Ain Binti Adnan, Kouhei Kushida, Shigeru Yamashita. 2298-2301 [doi]
- Improved synthesis of reversible sequential circuitsMozammel H. A. Khan, Jacqueline E. Rice. 2302-2305 [doi]
- An efficient synthesis method for ternary reversible logicSaikat Basu, Sudhindu Bikash Mandal, Amlan Chakrabarti, Susmita Sur-Kolay, A. K. Choudhury. 2306-2309 [doi]
- Partition SRAM and RRAM based synaptic arrays for neuro-inspired computingPai-Yu Chen, Shimeng Yu. 2310-2313 [doi]
- Demonstration of spike timing dependent plasticity in CBRAM devices with silicon neurons